verilog: add sv_maps iterators