verilog: add a test for toupper
commita5ee0a6437a392548277f3ed3a245342dfc4868e
authorTristan Gingold <tgingold@free.fr>
Thu, 27 Jan 2022 07:28:56 +0000 (27 08:28 +0100)
committerTristan Gingold <tgingold@free.fr>
Sun, 22 Jan 2023 11:07:38 +0000 (22 12:07 +0100)
treebef2bbfc8f554bd3060dbbfc8fe671c868c0e551
parent15de992e2f113ba0702f29c58de158565348dd74
verilog: add a test for toupper
src/verilog/tests/06_data_types/06_16_string/06_16_009.v [new file with mode: 0644]