Move the inverter out of the clock generator (both versions) and into main.
[trinary.git] / circuits / clock_gen-fast.asc
blob8362d97037cbf068c88739c2ae80d9d2715338f8
1 Version 4\r
2 SHEET 1 880 680\r
3 WIRE 112 -48 80 -48\r
4 FLAG 192 -48 0\r
5 FLAG 80 -48 CLK\r
6 SYMBOL voltage 96 -48 M90\r
7 WINDOW 123 0 0 Left 0\r
8 WINDOW 39 0 0 Left 0\r
9 WINDOW 3 -78 187 VLeft 0\r
10 SYMATTR Value PULSE(-5 5 30u 1n 1n 30u 60u)\r
11 SYMATTR InstName VCLK\r
12 TEXT -64 -240 Left 0 ;2-phase clock generator.\nCould be physically realized with 555 timer.\r