add: performance values for Lattice MachXO2master
[zpu.git] / zpu / hdl / zealot / 
treecd6ea245816ef0c597625b9c48e5472ffaf5a6af
drwxr-xr-x   ..
-rw-r--r-- 5552 0README.txt
-rw-r--r-- 1192 BSD
-rw-r--r-- 18008 GPL_V2
drwxr-xr-x - devices
drwxr-xr-x - fpga
drwxr-xr-x - helpers
drwxr-xr-x - roms
drwxr-xr-x - testbenches
-rw-r--r-- 50197 zpu_medium.vhdl
-rw-r--r-- 15339 zpu_pkg.vhdl
-rw-r--r-- 22984 zpu_small.vhdl