add: performance values for Lattice MachXO2master
[zpu.git] / zpu / hdl / example_ghdl / 
treec851bdab0d22bf91315e1959b4d6f08fa9f9f0ad
drwxr-xr-x   ..
-rw-r--r-- 1246 README
-rw-r--r-- 967 dmipssmalltrace_ghdl.sh
-rw-r--r-- 985 dmipstrace_ghdl.sh
-rw-r--r-- 758 ghdl_import.sh
-rw-r--r-- 66 ghdl_make.sh
-rw-r--r-- 124 ghdl_options.sh
-rw-r--r-- 982 simzpu_medium_ghdl.sh