expressions: make assign_expression() take an op argument
[smatch.git] / validation / pragma-once.c
blob5e8b82542d0b442ba0c00844e13880db9348720c
1 #pragma once
2 #include "pragma-once.c"
3 /*
4 * check-name: #pragma once
5 */