* config/alpha/alpha.c: Fix comment typos.
[official-gcc.git] / gcc / config / alpha / alpha.c
blob92fe830b2d18282df6646cca4fa28e2d1acc3fb6
1 /* Subroutines used for code generation on the DEC Alpha.
2 Copyright (C) 1992, 1993, 1994, 1995, 1996, 1997, 1998, 1999,
3 2000, 2001, 2002, 2003 Free Software Foundation, Inc.
4 Contributed by Richard Kenner (kenner@vlsi1.ultra.nyu.edu)
6 This file is part of GNU CC.
8 GNU CC is free software; you can redistribute it and/or modify
9 it under the terms of the GNU General Public License as published by
10 the Free Software Foundation; either version 2, or (at your option)
11 any later version.
13 GNU CC is distributed in the hope that it will be useful,
14 but WITHOUT ANY WARRANTY; without even the implied warranty of
15 MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
16 GNU General Public License for more details.
18 You should have received a copy of the GNU General Public License
19 along with GNU CC; see the file COPYING. If not, write to
20 the Free Software Foundation, 59 Temple Place - Suite 330,
21 Boston, MA 02111-1307, USA. */
24 #include "config.h"
25 #include "system.h"
26 #include "coretypes.h"
27 #include "tm.h"
28 #include "rtl.h"
29 #include "tree.h"
30 #include "regs.h"
31 #include "hard-reg-set.h"
32 #include "real.h"
33 #include "insn-config.h"
34 #include "conditions.h"
35 #include "output.h"
36 #include "insn-attr.h"
37 #include "flags.h"
38 #include "recog.h"
39 #include "expr.h"
40 #include "optabs.h"
41 #include "reload.h"
42 #include "obstack.h"
43 #include "except.h"
44 #include "function.h"
45 #include "toplev.h"
46 #include "ggc.h"
47 #include "integrate.h"
48 #include "tm_p.h"
49 #include "target.h"
50 #include "target-def.h"
51 #include "debug.h"
52 #include "langhooks.h"
53 #include <splay-tree.h>
54 #include "cfglayout.h"
56 /* Specify which cpu to schedule for. */
58 enum processor_type alpha_cpu;
59 static const char * const alpha_cpu_name[] =
61 "ev4", "ev5", "ev6"
64 /* Specify how accurate floating-point traps need to be. */
66 enum alpha_trap_precision alpha_tp;
68 /* Specify the floating-point rounding mode. */
70 enum alpha_fp_rounding_mode alpha_fprm;
72 /* Specify which things cause traps. */
74 enum alpha_fp_trap_mode alpha_fptm;
76 /* Specify bit size of immediate TLS offsets. */
78 int alpha_tls_size = 32;
80 /* Strings decoded into the above options. */
82 const char *alpha_cpu_string; /* -mcpu= */
83 const char *alpha_tune_string; /* -mtune= */
84 const char *alpha_tp_string; /* -mtrap-precision=[p|s|i] */
85 const char *alpha_fprm_string; /* -mfp-rounding-mode=[n|m|c|d] */
86 const char *alpha_fptm_string; /* -mfp-trap-mode=[n|u|su|sui] */
87 const char *alpha_mlat_string; /* -mmemory-latency= */
88 const char *alpha_tls_size_string; /* -mtls-size=[16|32|64] */
90 /* Save information from a "cmpxx" operation until the branch or scc is
91 emitted. */
93 struct alpha_compare alpha_compare;
95 /* Nonzero if inside of a function, because the Alpha asm can't
96 handle .files inside of functions. */
98 static int inside_function = FALSE;
100 /* The number of cycles of latency we should assume on memory reads. */
102 int alpha_memory_latency = 3;
104 /* Whether the function needs the GP. */
106 static int alpha_function_needs_gp;
108 /* The alias set for prologue/epilogue register save/restore. */
110 static GTY(()) int alpha_sr_alias_set;
112 /* The assembler name of the current function. */
114 static const char *alpha_fnname;
116 /* The next explicit relocation sequence number. */
117 extern GTY(()) int alpha_next_sequence_number;
118 int alpha_next_sequence_number = 1;
120 /* The literal and gpdisp sequence numbers for this insn, as printed
121 by %# and %* respectively. */
122 extern GTY(()) int alpha_this_literal_sequence_number;
123 extern GTY(()) int alpha_this_gpdisp_sequence_number;
124 int alpha_this_literal_sequence_number;
125 int alpha_this_gpdisp_sequence_number;
127 /* Costs of various operations on the different architectures. */
129 struct alpha_rtx_cost_data
131 unsigned char fp_add;
132 unsigned char fp_mult;
133 unsigned char fp_div_sf;
134 unsigned char fp_div_df;
135 unsigned char int_mult_si;
136 unsigned char int_mult_di;
137 unsigned char int_shift;
138 unsigned char int_cmov;
141 static struct alpha_rtx_cost_data const alpha_rtx_cost_data[PROCESSOR_MAX] =
143 { /* EV4 */
144 COSTS_N_INSNS (6), /* fp_add */
145 COSTS_N_INSNS (6), /* fp_mult */
146 COSTS_N_INSNS (34), /* fp_div_sf */
147 COSTS_N_INSNS (63), /* fp_div_df */
148 COSTS_N_INSNS (23), /* int_mult_si */
149 COSTS_N_INSNS (23), /* int_mult_di */
150 COSTS_N_INSNS (2), /* int_shift */
151 COSTS_N_INSNS (2), /* int_cmov */
153 { /* EV5 */
154 COSTS_N_INSNS (4), /* fp_add */
155 COSTS_N_INSNS (4), /* fp_mult */
156 COSTS_N_INSNS (15), /* fp_div_sf */
157 COSTS_N_INSNS (22), /* fp_div_df */
158 COSTS_N_INSNS (8), /* int_mult_si */
159 COSTS_N_INSNS (12), /* int_mult_di */
160 COSTS_N_INSNS (1) + 1, /* int_shift */
161 COSTS_N_INSNS (1), /* int_cmov */
163 { /* EV6 */
164 COSTS_N_INSNS (4), /* fp_add */
165 COSTS_N_INSNS (4), /* fp_mult */
166 COSTS_N_INSNS (12), /* fp_div_sf */
167 COSTS_N_INSNS (15), /* fp_div_df */
168 COSTS_N_INSNS (7), /* int_mult_si */
169 COSTS_N_INSNS (7), /* int_mult_di */
170 COSTS_N_INSNS (1), /* int_shift */
171 COSTS_N_INSNS (2), /* int_cmov */
175 /* Get the number of args of a function in one of two ways. */
176 #if TARGET_ABI_OPEN_VMS || TARGET_ABI_UNICOSMK
177 #define NUM_ARGS current_function_args_info.num_args
178 #else
179 #define NUM_ARGS current_function_args_info
180 #endif
182 #define REG_PV 27
183 #define REG_RA 26
185 /* Declarations of static functions. */
186 static struct machine_function *alpha_init_machine_status (void);
187 static rtx alpha_emit_xfloating_compare (enum rtx_code, rtx, rtx);
189 #if TARGET_ABI_OPEN_VMS
190 static void alpha_write_linkage (FILE *, const char *, tree);
191 #endif
193 static void unicosmk_output_deferred_case_vectors (FILE *);
194 static void unicosmk_gen_dsib (unsigned long *);
195 static void unicosmk_output_ssib (FILE *, const char *);
196 static int unicosmk_need_dex (rtx);
198 /* Parse target option strings. */
200 void
201 override_options (void)
203 int i;
204 static const struct cpu_table {
205 const char *const name;
206 const enum processor_type processor;
207 const int flags;
208 } cpu_table[] = {
209 #define EV5_MASK (MASK_CPU_EV5)
210 #define EV6_MASK (MASK_CPU_EV6|MASK_BWX|MASK_MAX|MASK_FIX)
211 { "ev4", PROCESSOR_EV4, 0 },
212 { "ev45", PROCESSOR_EV4, 0 },
213 { "21064", PROCESSOR_EV4, 0 },
214 { "ev5", PROCESSOR_EV5, EV5_MASK },
215 { "21164", PROCESSOR_EV5, EV5_MASK },
216 { "ev56", PROCESSOR_EV5, EV5_MASK|MASK_BWX },
217 { "21164a", PROCESSOR_EV5, EV5_MASK|MASK_BWX },
218 { "pca56", PROCESSOR_EV5, EV5_MASK|MASK_BWX|MASK_MAX },
219 { "21164PC",PROCESSOR_EV5, EV5_MASK|MASK_BWX|MASK_MAX },
220 { "21164pc",PROCESSOR_EV5, EV5_MASK|MASK_BWX|MASK_MAX },
221 { "ev6", PROCESSOR_EV6, EV6_MASK },
222 { "21264", PROCESSOR_EV6, EV6_MASK },
223 { "ev67", PROCESSOR_EV6, EV6_MASK|MASK_CIX },
224 { "21264a", PROCESSOR_EV6, EV6_MASK|MASK_CIX },
225 { 0, 0, 0 }
228 /* Unicos/Mk doesn't have shared libraries. */
229 if (TARGET_ABI_UNICOSMK && flag_pic)
231 warning ("-f%s ignored for Unicos/Mk (not supported)",
232 (flag_pic > 1) ? "PIC" : "pic");
233 flag_pic = 0;
236 /* On Unicos/Mk, the native compiler consistently generates /d suffices for
237 floating-point instructions. Make that the default for this target. */
238 if (TARGET_ABI_UNICOSMK)
239 alpha_fprm = ALPHA_FPRM_DYN;
240 else
241 alpha_fprm = ALPHA_FPRM_NORM;
243 alpha_tp = ALPHA_TP_PROG;
244 alpha_fptm = ALPHA_FPTM_N;
246 /* We cannot use su and sui qualifiers for conversion instructions on
247 Unicos/Mk. I'm not sure if this is due to assembler or hardware
248 limitations. Right now, we issue a warning if -mieee is specified
249 and then ignore it; eventually, we should either get it right or
250 disable the option altogether. */
252 if (TARGET_IEEE)
254 if (TARGET_ABI_UNICOSMK)
255 warning ("-mieee not supported on Unicos/Mk");
256 else
258 alpha_tp = ALPHA_TP_INSN;
259 alpha_fptm = ALPHA_FPTM_SU;
263 if (TARGET_IEEE_WITH_INEXACT)
265 if (TARGET_ABI_UNICOSMK)
266 warning ("-mieee-with-inexact not supported on Unicos/Mk");
267 else
269 alpha_tp = ALPHA_TP_INSN;
270 alpha_fptm = ALPHA_FPTM_SUI;
274 if (alpha_tp_string)
276 if (! strcmp (alpha_tp_string, "p"))
277 alpha_tp = ALPHA_TP_PROG;
278 else if (! strcmp (alpha_tp_string, "f"))
279 alpha_tp = ALPHA_TP_FUNC;
280 else if (! strcmp (alpha_tp_string, "i"))
281 alpha_tp = ALPHA_TP_INSN;
282 else
283 error ("bad value `%s' for -mtrap-precision switch", alpha_tp_string);
286 if (alpha_fprm_string)
288 if (! strcmp (alpha_fprm_string, "n"))
289 alpha_fprm = ALPHA_FPRM_NORM;
290 else if (! strcmp (alpha_fprm_string, "m"))
291 alpha_fprm = ALPHA_FPRM_MINF;
292 else if (! strcmp (alpha_fprm_string, "c"))
293 alpha_fprm = ALPHA_FPRM_CHOP;
294 else if (! strcmp (alpha_fprm_string,"d"))
295 alpha_fprm = ALPHA_FPRM_DYN;
296 else
297 error ("bad value `%s' for -mfp-rounding-mode switch",
298 alpha_fprm_string);
301 if (alpha_fptm_string)
303 if (strcmp (alpha_fptm_string, "n") == 0)
304 alpha_fptm = ALPHA_FPTM_N;
305 else if (strcmp (alpha_fptm_string, "u") == 0)
306 alpha_fptm = ALPHA_FPTM_U;
307 else if (strcmp (alpha_fptm_string, "su") == 0)
308 alpha_fptm = ALPHA_FPTM_SU;
309 else if (strcmp (alpha_fptm_string, "sui") == 0)
310 alpha_fptm = ALPHA_FPTM_SUI;
311 else
312 error ("bad value `%s' for -mfp-trap-mode switch", alpha_fptm_string);
315 if (alpha_tls_size_string)
317 if (strcmp (alpha_tls_size_string, "16") == 0)
318 alpha_tls_size = 16;
319 else if (strcmp (alpha_tls_size_string, "32") == 0)
320 alpha_tls_size = 32;
321 else if (strcmp (alpha_tls_size_string, "64") == 0)
322 alpha_tls_size = 64;
323 else
324 error ("bad value `%s' for -mtls-size switch", alpha_tls_size_string);
327 alpha_cpu
328 = TARGET_CPU_DEFAULT & MASK_CPU_EV6 ? PROCESSOR_EV6
329 : (TARGET_CPU_DEFAULT & MASK_CPU_EV5 ? PROCESSOR_EV5 : PROCESSOR_EV4);
331 if (alpha_cpu_string)
333 for (i = 0; cpu_table [i].name; i++)
334 if (! strcmp (alpha_cpu_string, cpu_table [i].name))
336 alpha_cpu = cpu_table [i].processor;
337 target_flags &= ~ (MASK_BWX | MASK_MAX | MASK_FIX | MASK_CIX
338 | MASK_CPU_EV5 | MASK_CPU_EV6);
339 target_flags |= cpu_table [i].flags;
340 break;
342 if (! cpu_table [i].name)
343 error ("bad value `%s' for -mcpu switch", alpha_cpu_string);
346 if (alpha_tune_string)
348 for (i = 0; cpu_table [i].name; i++)
349 if (! strcmp (alpha_tune_string, cpu_table [i].name))
351 alpha_cpu = cpu_table [i].processor;
352 break;
354 if (! cpu_table [i].name)
355 error ("bad value `%s' for -mcpu switch", alpha_tune_string);
358 /* Do some sanity checks on the above options. */
360 if (TARGET_ABI_UNICOSMK && alpha_fptm != ALPHA_FPTM_N)
362 warning ("trap mode not supported on Unicos/Mk");
363 alpha_fptm = ALPHA_FPTM_N;
366 if ((alpha_fptm == ALPHA_FPTM_SU || alpha_fptm == ALPHA_FPTM_SUI)
367 && alpha_tp != ALPHA_TP_INSN && ! TARGET_CPU_EV6)
369 warning ("fp software completion requires -mtrap-precision=i");
370 alpha_tp = ALPHA_TP_INSN;
373 if (TARGET_CPU_EV6)
375 /* Except for EV6 pass 1 (not released), we always have precise
376 arithmetic traps. Which means we can do software completion
377 without minding trap shadows. */
378 alpha_tp = ALPHA_TP_PROG;
381 if (TARGET_FLOAT_VAX)
383 if (alpha_fprm == ALPHA_FPRM_MINF || alpha_fprm == ALPHA_FPRM_DYN)
385 warning ("rounding mode not supported for VAX floats");
386 alpha_fprm = ALPHA_FPRM_NORM;
388 if (alpha_fptm == ALPHA_FPTM_SUI)
390 warning ("trap mode not supported for VAX floats");
391 alpha_fptm = ALPHA_FPTM_SU;
396 char *end;
397 int lat;
399 if (!alpha_mlat_string)
400 alpha_mlat_string = "L1";
402 if (ISDIGIT ((unsigned char)alpha_mlat_string[0])
403 && (lat = strtol (alpha_mlat_string, &end, 10), *end == '\0'))
405 else if ((alpha_mlat_string[0] == 'L' || alpha_mlat_string[0] == 'l')
406 && ISDIGIT ((unsigned char)alpha_mlat_string[1])
407 && alpha_mlat_string[2] == '\0')
409 static int const cache_latency[][4] =
411 { 3, 30, -1 }, /* ev4 -- Bcache is a guess */
412 { 2, 12, 38 }, /* ev5 -- Bcache from PC164 LMbench numbers */
413 { 3, 12, 30 }, /* ev6 -- Bcache from DS20 LMbench. */
416 lat = alpha_mlat_string[1] - '0';
417 if (lat <= 0 || lat > 3 || cache_latency[alpha_cpu][lat-1] == -1)
419 warning ("L%d cache latency unknown for %s",
420 lat, alpha_cpu_name[alpha_cpu]);
421 lat = 3;
423 else
424 lat = cache_latency[alpha_cpu][lat-1];
426 else if (! strcmp (alpha_mlat_string, "main"))
428 /* Most current memories have about 370ns latency. This is
429 a reasonable guess for a fast cpu. */
430 lat = 150;
432 else
434 warning ("bad value `%s' for -mmemory-latency", alpha_mlat_string);
435 lat = 3;
438 alpha_memory_latency = lat;
441 /* Default the definition of "small data" to 8 bytes. */
442 if (!g_switch_set)
443 g_switch_value = 8;
445 /* Infer TARGET_SMALL_DATA from -fpic/-fPIC. */
446 if (flag_pic == 1)
447 target_flags |= MASK_SMALL_DATA;
448 else if (flag_pic == 2)
449 target_flags &= ~MASK_SMALL_DATA;
451 /* Align labels and loops for optimal branching. */
452 /* ??? Kludge these by not doing anything if we don't optimize and also if
453 we are writing ECOFF symbols to work around a bug in DEC's assembler. */
454 if (optimize > 0 && write_symbols != SDB_DEBUG)
456 if (align_loops <= 0)
457 align_loops = 16;
458 if (align_jumps <= 0)
459 align_jumps = 16;
461 if (align_functions <= 0)
462 align_functions = 16;
464 /* Acquire a unique set number for our register saves and restores. */
465 alpha_sr_alias_set = new_alias_set ();
467 /* Register variables and functions with the garbage collector. */
469 /* Set up function hooks. */
470 init_machine_status = alpha_init_machine_status;
472 /* Tell the compiler when we're using VAX floating point. */
473 if (TARGET_FLOAT_VAX)
475 real_format_for_mode[SFmode - QFmode] = &vax_f_format;
476 real_format_for_mode[DFmode - QFmode] = &vax_g_format;
477 real_format_for_mode[TFmode - QFmode] = NULL;
481 /* Returns 1 if VALUE is a mask that contains full bytes of zero or ones. */
484 zap_mask (HOST_WIDE_INT value)
486 int i;
488 for (i = 0; i < HOST_BITS_PER_WIDE_INT / HOST_BITS_PER_CHAR;
489 i++, value >>= 8)
490 if ((value & 0xff) != 0 && (value & 0xff) != 0xff)
491 return 0;
493 return 1;
496 /* Returns 1 if OP is either the constant zero or a register. If a
497 register, it must be in the proper mode unless MODE is VOIDmode. */
500 reg_or_0_operand (rtx op, enum machine_mode mode)
502 return op == CONST0_RTX (mode) || register_operand (op, mode);
505 /* Return 1 if OP is a constant in the range of 0-63 (for a shift) or
506 any register. */
509 reg_or_6bit_operand (rtx op, enum machine_mode mode)
511 return ((GET_CODE (op) == CONST_INT
512 && (unsigned HOST_WIDE_INT) INTVAL (op) < 64)
513 || register_operand (op, mode));
517 /* Return 1 if OP is an 8-bit constant or any register. */
520 reg_or_8bit_operand (rtx op, enum machine_mode mode)
522 return ((GET_CODE (op) == CONST_INT
523 && (unsigned HOST_WIDE_INT) INTVAL (op) < 0x100)
524 || register_operand (op, mode));
527 /* Return 1 if OP is a constant or any register. */
530 reg_or_const_int_operand (rtx op, enum machine_mode mode)
532 return GET_CODE (op) == CONST_INT || register_operand (op, mode);
535 /* Return 1 if OP is an 8-bit constant. */
538 cint8_operand (rtx op, enum machine_mode mode ATTRIBUTE_UNUSED)
540 return ((GET_CODE (op) == CONST_INT
541 && (unsigned HOST_WIDE_INT) INTVAL (op) < 0x100));
544 /* Return 1 if the operand is a valid second operand to an add insn. */
547 add_operand (rtx op, enum machine_mode mode)
549 if (GET_CODE (op) == CONST_INT)
550 /* Constraints I, J, O and P are covered by K. */
551 return (CONST_OK_FOR_LETTER_P (INTVAL (op), 'K')
552 || CONST_OK_FOR_LETTER_P (INTVAL (op), 'L'));
554 return register_operand (op, mode);
557 /* Return 1 if the operand is a valid second operand to a sign-extending
558 add insn. */
561 sext_add_operand (rtx op, enum machine_mode mode)
563 if (GET_CODE (op) == CONST_INT)
564 return (CONST_OK_FOR_LETTER_P (INTVAL (op), 'I')
565 || CONST_OK_FOR_LETTER_P (INTVAL (op), 'O'));
567 return reg_not_elim_operand (op, mode);
570 /* Return 1 if OP is the constant 4 or 8. */
573 const48_operand (rtx op, enum machine_mode mode ATTRIBUTE_UNUSED)
575 return (GET_CODE (op) == CONST_INT
576 && (INTVAL (op) == 4 || INTVAL (op) == 8));
579 /* Return 1 if OP is a valid first operand to an AND insn. */
582 and_operand (rtx op, enum machine_mode mode)
584 if (GET_CODE (op) == CONST_DOUBLE && GET_MODE (op) == VOIDmode)
585 return (zap_mask (CONST_DOUBLE_LOW (op))
586 && zap_mask (CONST_DOUBLE_HIGH (op)));
588 if (GET_CODE (op) == CONST_INT)
589 return ((unsigned HOST_WIDE_INT) INTVAL (op) < 0x100
590 || (unsigned HOST_WIDE_INT) ~ INTVAL (op) < 0x100
591 || zap_mask (INTVAL (op)));
593 return register_operand (op, mode);
596 /* Return 1 if OP is a valid first operand to an IOR or XOR insn. */
599 or_operand (rtx op, enum machine_mode mode)
601 if (GET_CODE (op) == CONST_INT)
602 return ((unsigned HOST_WIDE_INT) INTVAL (op) < 0x100
603 || (unsigned HOST_WIDE_INT) ~ INTVAL (op) < 0x100);
605 return register_operand (op, mode);
608 /* Return 1 if OP is a constant that is the width, in bits, of an integral
609 mode smaller than DImode. */
612 mode_width_operand (rtx op, enum machine_mode mode ATTRIBUTE_UNUSED)
614 return (GET_CODE (op) == CONST_INT
615 && (INTVAL (op) == 8 || INTVAL (op) == 16
616 || INTVAL (op) == 32 || INTVAL (op) == 64));
619 /* Return 1 if OP is a constant that is the width of an integral machine mode
620 smaller than an integer. */
623 mode_mask_operand (rtx op, enum machine_mode mode ATTRIBUTE_UNUSED)
625 if (GET_CODE (op) == CONST_INT)
627 HOST_WIDE_INT value = INTVAL (op);
629 if (value == 0xff)
630 return 1;
631 if (value == 0xffff)
632 return 1;
633 if (value == 0xffffffff)
634 return 1;
635 if (value == -1)
636 return 1;
638 else if (HOST_BITS_PER_WIDE_INT == 32 && GET_CODE (op) == CONST_DOUBLE)
640 if (CONST_DOUBLE_LOW (op) == 0xffffffff && CONST_DOUBLE_HIGH (op) == 0)
641 return 1;
644 return 0;
647 /* Return 1 if OP is a multiple of 8 less than 64. */
650 mul8_operand (rtx op, enum machine_mode mode ATTRIBUTE_UNUSED)
652 return (GET_CODE (op) == CONST_INT
653 && (unsigned HOST_WIDE_INT) INTVAL (op) < 64
654 && (INTVAL (op) & 7) == 0);
657 /* Return 1 if OP is the zero constant for MODE. */
660 const0_operand (rtx op, enum machine_mode mode)
662 return op == CONST0_RTX (mode);
665 /* Return 1 if OP is a hard floating-point register. */
668 hard_fp_register_operand (rtx op, enum machine_mode mode)
670 if (mode != VOIDmode && GET_MODE (op) != VOIDmode && mode != GET_MODE (op))
671 return 0;
673 if (GET_CODE (op) == SUBREG)
674 op = SUBREG_REG (op);
675 return GET_CODE (op) == REG && REGNO_REG_CLASS (REGNO (op)) == FLOAT_REGS;
678 /* Return 1 if OP is a hard general register. */
681 hard_int_register_operand (rtx op, enum machine_mode mode)
683 if (mode != VOIDmode && GET_MODE (op) != VOIDmode && mode != GET_MODE (op))
684 return 0;
686 if (GET_CODE (op) == SUBREG)
687 op = SUBREG_REG (op);
688 return GET_CODE (op) == REG && REGNO_REG_CLASS (REGNO (op)) == GENERAL_REGS;
691 /* Return 1 if OP is a register or a constant integer. */
695 reg_or_cint_operand (rtx op, enum machine_mode mode)
697 return (GET_CODE (op) == CONST_INT
698 || register_operand (op, mode));
701 /* Return 1 if OP is something that can be reloaded into a register;
702 if it is a MEM, it need not be valid. */
705 some_operand (rtx op, enum machine_mode mode)
707 if (mode != VOIDmode && GET_MODE (op) != VOIDmode && mode != GET_MODE (op))
708 return 0;
710 switch (GET_CODE (op))
712 case REG:
713 case MEM:
714 case CONST_INT:
715 case CONST_DOUBLE:
716 case CONST_VECTOR:
717 case LABEL_REF:
718 case SYMBOL_REF:
719 case CONST:
720 case HIGH:
721 return 1;
723 case SUBREG:
724 return some_operand (SUBREG_REG (op), VOIDmode);
726 default:
727 break;
730 return 0;
733 /* Likewise, but don't accept constants. */
736 some_ni_operand (rtx op, enum machine_mode mode)
738 if (GET_MODE (op) != mode && mode != VOIDmode)
739 return 0;
741 if (GET_CODE (op) == SUBREG)
742 op = SUBREG_REG (op);
744 return (GET_CODE (op) == REG || GET_CODE (op) == MEM);
747 /* Return 1 if OP is a valid operand for the source of a move insn. */
750 input_operand (rtx op, enum machine_mode mode)
752 if (mode != VOIDmode && GET_MODE (op) != VOIDmode && mode != GET_MODE (op))
753 return 0;
755 if (GET_MODE_CLASS (mode) == MODE_FLOAT && GET_MODE (op) != mode)
756 return 0;
758 switch (GET_CODE (op))
760 case LABEL_REF:
761 case SYMBOL_REF:
762 case CONST:
763 if (TARGET_EXPLICIT_RELOCS)
765 /* We don't split symbolic operands into something unintelligable
766 until after reload, but we do not wish non-small, non-global
767 symbolic operands to be reconstructed from their high/lo_sum
768 form. */
769 return (small_symbolic_operand (op, mode)
770 || global_symbolic_operand (op, mode)
771 || gotdtp_symbolic_operand (op, mode)
772 || gottp_symbolic_operand (op, mode));
775 /* This handles both the Windows/NT and OSF cases. */
776 return mode == ptr_mode || mode == DImode;
778 case HIGH:
779 return (TARGET_EXPLICIT_RELOCS
780 && local_symbolic_operand (XEXP (op, 0), mode));
782 case REG:
783 case ADDRESSOF:
784 return 1;
786 case SUBREG:
787 if (register_operand (op, mode))
788 return 1;
789 /* ... fall through ... */
790 case MEM:
791 return ((TARGET_BWX || (mode != HImode && mode != QImode))
792 && general_operand (op, mode));
794 case CONST_DOUBLE:
795 case CONST_VECTOR:
796 return op == CONST0_RTX (mode);
798 case CONST_INT:
799 return mode == QImode || mode == HImode || add_operand (op, mode);
801 case CONSTANT_P_RTX:
802 return 1;
804 default:
805 break;
808 return 0;
811 /* Return 1 if OP is a SYMBOL_REF for a function known to be in this
812 file, and in the same section as the current function. */
815 samegp_function_operand (rtx op, enum machine_mode mode ATTRIBUTE_UNUSED)
817 if (GET_CODE (op) != SYMBOL_REF)
818 return false;
820 /* Easy test for recursion. */
821 if (op == XEXP (DECL_RTL (current_function_decl), 0))
822 return true;
824 /* Functions that are not local can be overridden, and thus may
825 not share the same gp. */
826 if (! SYMBOL_REF_LOCAL_P (op))
827 return false;
829 /* If -msmall-data is in effect, assume that there is only one GP
830 for the module, and so any local symbol has this property. We
831 need explicit relocations to be able to enforce this for symbols
832 not defined in this unit of translation, however. */
833 if (TARGET_EXPLICIT_RELOCS && TARGET_SMALL_DATA)
834 return true;
836 /* Functions that are not external are defined in this UoT,
837 and thus must share the same gp. */
838 return ! SYMBOL_REF_EXTERNAL_P (op);
841 /* Return 1 if OP is a SYMBOL_REF for which we can make a call via bsr. */
844 direct_call_operand (rtx op, enum machine_mode mode)
846 tree op_decl, cfun_sec, op_sec;
848 /* Must share the same GP. */
849 if (!samegp_function_operand (op, mode))
850 return false;
852 /* If profiling is implemented via linker tricks, we can't jump
853 to the nogp alternate entry point. Note that current_function_profile
854 would not be correct, since that doesn't indicate if the target
855 function uses profiling. */
856 /* ??? TARGET_PROFILING_NEEDS_GP isn't really the right test,
857 but is approximately correct for the OSF ABIs. Don't know
858 what to do for VMS, NT, or UMK. */
859 if (!TARGET_PROFILING_NEEDS_GP && profile_flag)
860 return false;
862 /* Must be a function. In some cases folks create thunks in static
863 data structures and then make calls to them. If we allow the
864 direct call, we'll get an error from the linker about !samegp reloc
865 against a symbol without a .prologue directive. */
866 if (!SYMBOL_REF_FUNCTION_P (op))
867 return false;
869 /* Must be "near" so that the branch is assumed to reach. With
870 -msmall-text, this is assumed true of all local symbols. Since
871 we've already checked samegp, locality is already assured. */
872 if (TARGET_SMALL_TEXT)
873 return true;
875 /* Otherwise, a decl is "near" if it is defined in the same section. */
876 if (flag_function_sections)
877 return false;
879 op_decl = SYMBOL_REF_DECL (op);
880 if (DECL_ONE_ONLY (current_function_decl)
881 || (op_decl && DECL_ONE_ONLY (op_decl)))
882 return false;
884 cfun_sec = DECL_SECTION_NAME (current_function_decl);
885 op_sec = op_decl ? DECL_SECTION_NAME (op_decl) : NULL;
886 return ((!cfun_sec && !op_sec)
887 || (cfun_sec && op_sec
888 && strcmp (TREE_STRING_POINTER (cfun_sec),
889 TREE_STRING_POINTER (op_sec)) == 0));
892 /* Return true if OP is a LABEL_REF, or SYMBOL_REF or CONST referencing
893 a (non-tls) variable known to be defined in this file. */
896 local_symbolic_operand (rtx op, enum machine_mode mode)
898 if (mode != VOIDmode && GET_MODE (op) != VOIDmode && mode != GET_MODE (op))
899 return 0;
901 if (GET_CODE (op) == LABEL_REF)
902 return 1;
904 if (GET_CODE (op) == CONST
905 && GET_CODE (XEXP (op, 0)) == PLUS
906 && GET_CODE (XEXP (XEXP (op, 0), 1)) == CONST_INT)
907 op = XEXP (XEXP (op, 0), 0);
909 if (GET_CODE (op) != SYMBOL_REF)
910 return 0;
912 return SYMBOL_REF_LOCAL_P (op) && !SYMBOL_REF_TLS_MODEL (op);
915 /* Return true if OP is a SYMBOL_REF or CONST referencing a variable
916 known to be defined in this file in the small data area. */
919 small_symbolic_operand (rtx op, enum machine_mode mode ATTRIBUTE_UNUSED)
921 if (! TARGET_SMALL_DATA)
922 return 0;
924 if (mode != VOIDmode && GET_MODE (op) != VOIDmode && mode != GET_MODE (op))
925 return 0;
927 if (GET_CODE (op) == CONST
928 && GET_CODE (XEXP (op, 0)) == PLUS
929 && GET_CODE (XEXP (XEXP (op, 0), 1)) == CONST_INT)
930 op = XEXP (XEXP (op, 0), 0);
932 if (GET_CODE (op) != SYMBOL_REF)
933 return 0;
935 /* ??? There's no encode_section_info equivalent for the rtl
936 constant pool, so SYMBOL_FLAG_SMALL never gets set. */
937 if (CONSTANT_POOL_ADDRESS_P (op))
938 return GET_MODE_SIZE (get_pool_mode (op)) <= g_switch_value;
940 return (SYMBOL_REF_LOCAL_P (op)
941 && SYMBOL_REF_SMALL_P (op)
942 && SYMBOL_REF_TLS_MODEL (op) == 0);
945 /* Return true if OP is a SYMBOL_REF or CONST referencing a variable
946 not known (or known not) to be defined in this file. */
949 global_symbolic_operand (rtx op, enum machine_mode mode)
951 if (mode != VOIDmode && GET_MODE (op) != VOIDmode && mode != GET_MODE (op))
952 return 0;
954 if (GET_CODE (op) == CONST
955 && GET_CODE (XEXP (op, 0)) == PLUS
956 && GET_CODE (XEXP (XEXP (op, 0), 1)) == CONST_INT)
957 op = XEXP (XEXP (op, 0), 0);
959 if (GET_CODE (op) != SYMBOL_REF)
960 return 0;
962 return !SYMBOL_REF_LOCAL_P (op) && !SYMBOL_REF_TLS_MODEL (op);
965 /* Return 1 if OP is a valid operand for the MEM of a CALL insn. */
968 call_operand (rtx op, enum machine_mode mode)
970 if (mode != Pmode)
971 return 0;
973 if (GET_CODE (op) == REG)
975 if (TARGET_ABI_OSF)
977 /* Disallow virtual registers to cope with pathological test cases
978 such as compile/930117-1.c in which the virtual reg decomposes
979 to the frame pointer. Which is a hard reg that is not $27. */
980 return (REGNO (op) == 27 || REGNO (op) > LAST_VIRTUAL_REGISTER);
982 else
983 return 1;
985 if (TARGET_ABI_UNICOSMK)
986 return 0;
987 if (GET_CODE (op) == SYMBOL_REF)
988 return 1;
990 return 0;
993 /* Returns 1 if OP is a symbolic operand, i.e. a symbol_ref or a label_ref,
994 possibly with an offset. */
997 symbolic_operand (rtx op, enum machine_mode mode)
999 if (mode != VOIDmode && GET_MODE (op) != VOIDmode && mode != GET_MODE (op))
1000 return 0;
1001 if (GET_CODE (op) == SYMBOL_REF || GET_CODE (op) == LABEL_REF)
1002 return 1;
1003 if (GET_CODE (op) == CONST
1004 && GET_CODE (XEXP (op,0)) == PLUS
1005 && GET_CODE (XEXP (XEXP (op,0), 0)) == SYMBOL_REF
1006 && GET_CODE (XEXP (XEXP (op,0), 1)) == CONST_INT)
1007 return 1;
1008 return 0;
1011 /* Return true if OP is valid for a particular TLS relocation. */
1013 static int
1014 tls_symbolic_operand_1 (rtx op, enum machine_mode mode, int size, int unspec)
1016 if (mode != VOIDmode && GET_MODE (op) != VOIDmode && mode != GET_MODE (op))
1017 return 0;
1019 if (GET_CODE (op) != CONST)
1020 return 0;
1021 op = XEXP (op, 0);
1023 if (GET_CODE (op) != UNSPEC || XINT (op, 1) != unspec)
1024 return 0;
1025 op = XVECEXP (op, 0, 0);
1027 if (GET_CODE (op) != SYMBOL_REF)
1028 return 0;
1030 if (SYMBOL_REF_LOCAL_P (op))
1032 if (alpha_tls_size > size)
1033 return 0;
1035 else
1037 if (size != 64)
1038 return 0;
1041 switch (SYMBOL_REF_TLS_MODEL (op))
1043 case TLS_MODEL_LOCAL_DYNAMIC:
1044 return unspec == UNSPEC_DTPREL;
1045 case TLS_MODEL_INITIAL_EXEC:
1046 return unspec == UNSPEC_TPREL && size == 64;
1047 case TLS_MODEL_LOCAL_EXEC:
1048 return unspec == UNSPEC_TPREL;
1049 default:
1050 abort ();
1054 /* Return true if OP is valid for 16-bit DTP relative relocations. */
1057 dtp16_symbolic_operand (rtx op, enum machine_mode mode)
1059 return tls_symbolic_operand_1 (op, mode, 16, UNSPEC_DTPREL);
1062 /* Return true if OP is valid for 32-bit DTP relative relocations. */
1065 dtp32_symbolic_operand (rtx op, enum machine_mode mode)
1067 return tls_symbolic_operand_1 (op, mode, 32, UNSPEC_DTPREL);
1070 /* Return true if OP is valid for 64-bit DTP relative relocations. */
1073 gotdtp_symbolic_operand (rtx op, enum machine_mode mode)
1075 return tls_symbolic_operand_1 (op, mode, 64, UNSPEC_DTPREL);
1078 /* Return true if OP is valid for 16-bit TP relative relocations. */
1081 tp16_symbolic_operand (rtx op, enum machine_mode mode)
1083 return tls_symbolic_operand_1 (op, mode, 16, UNSPEC_TPREL);
1086 /* Return true if OP is valid for 32-bit TP relative relocations. */
1089 tp32_symbolic_operand (rtx op, enum machine_mode mode)
1091 return tls_symbolic_operand_1 (op, mode, 32, UNSPEC_TPREL);
1094 /* Return true if OP is valid for 64-bit TP relative relocations. */
1097 gottp_symbolic_operand (rtx op, enum machine_mode mode)
1099 return tls_symbolic_operand_1 (op, mode, 64, UNSPEC_TPREL);
1102 /* Return 1 if OP is a valid Alpha comparison operator. Here we know which
1103 comparisons are valid in which insn. */
1106 alpha_comparison_operator (rtx op, enum machine_mode mode)
1108 enum rtx_code code = GET_CODE (op);
1110 if (mode != GET_MODE (op) && mode != VOIDmode)
1111 return 0;
1113 return (code == EQ || code == LE || code == LT
1114 || code == LEU || code == LTU);
1117 /* Return 1 if OP is a valid Alpha comparison operator against zero.
1118 Here we know which comparisons are valid in which insn. */
1121 alpha_zero_comparison_operator (rtx op, enum machine_mode mode)
1123 enum rtx_code code = GET_CODE (op);
1125 if (mode != GET_MODE (op) && mode != VOIDmode)
1126 return 0;
1128 return (code == EQ || code == NE || code == LE || code == LT
1129 || code == LEU || code == LTU);
1132 /* Return 1 if OP is a valid Alpha swapped comparison operator. */
1135 alpha_swapped_comparison_operator (rtx op, enum machine_mode mode)
1137 enum rtx_code code = GET_CODE (op);
1139 if ((mode != GET_MODE (op) && mode != VOIDmode)
1140 || GET_RTX_CLASS (code) != '<')
1141 return 0;
1143 code = swap_condition (code);
1144 return (code == EQ || code == LE || code == LT
1145 || code == LEU || code == LTU);
1148 /* Return 1 if OP is a signed comparison operation. */
1151 signed_comparison_operator (rtx op, enum machine_mode mode ATTRIBUTE_UNUSED)
1153 enum rtx_code code = GET_CODE (op);
1155 if (mode != GET_MODE (op) && mode != VOIDmode)
1156 return 0;
1158 return (code == EQ || code == NE
1159 || code == LE || code == LT
1160 || code == GE || code == GT);
1163 /* Return 1 if OP is a valid Alpha floating point comparison operator.
1164 Here we know which comparisons are valid in which insn. */
1167 alpha_fp_comparison_operator (rtx op, enum machine_mode mode)
1169 enum rtx_code code = GET_CODE (op);
1171 if (mode != GET_MODE (op) && mode != VOIDmode)
1172 return 0;
1174 return (code == EQ || code == LE || code == LT || code == UNORDERED);
1177 /* Return 1 if this is a divide or modulus operator. */
1180 divmod_operator (rtx op, enum machine_mode mode ATTRIBUTE_UNUSED)
1182 switch (GET_CODE (op))
1184 case DIV: case MOD: case UDIV: case UMOD:
1185 return 1;
1187 default:
1188 break;
1191 return 0;
1194 /* Return 1 if this memory address is a known aligned register plus
1195 a constant. It must be a valid address. This means that we can do
1196 this as an aligned reference plus some offset.
1198 Take into account what reload will do. */
1201 aligned_memory_operand (rtx op, enum machine_mode mode)
1203 rtx base;
1205 if (reload_in_progress)
1207 rtx tmp = op;
1208 if (GET_CODE (tmp) == SUBREG)
1209 tmp = SUBREG_REG (tmp);
1210 if (GET_CODE (tmp) == REG
1211 && REGNO (tmp) >= FIRST_PSEUDO_REGISTER)
1213 op = reg_equiv_memory_loc[REGNO (tmp)];
1214 if (op == 0)
1215 return 0;
1219 if (GET_CODE (op) != MEM
1220 || GET_MODE (op) != mode)
1221 return 0;
1222 op = XEXP (op, 0);
1224 /* LEGITIMIZE_RELOAD_ADDRESS creates (plus (plus reg const_hi) const_lo)
1225 sorts of constructs. Dig for the real base register. */
1226 if (reload_in_progress
1227 && GET_CODE (op) == PLUS
1228 && GET_CODE (XEXP (op, 0)) == PLUS)
1229 base = XEXP (XEXP (op, 0), 0);
1230 else
1232 if (! memory_address_p (mode, op))
1233 return 0;
1234 base = (GET_CODE (op) == PLUS ? XEXP (op, 0) : op);
1237 return (GET_CODE (base) == REG && REGNO_POINTER_ALIGN (REGNO (base)) >= 32);
1240 /* Similar, but return 1 if OP is a MEM which is not alignable. */
1243 unaligned_memory_operand (rtx op, enum machine_mode mode)
1245 rtx base;
1247 if (reload_in_progress)
1249 rtx tmp = op;
1250 if (GET_CODE (tmp) == SUBREG)
1251 tmp = SUBREG_REG (tmp);
1252 if (GET_CODE (tmp) == REG
1253 && REGNO (tmp) >= FIRST_PSEUDO_REGISTER)
1255 op = reg_equiv_memory_loc[REGNO (tmp)];
1256 if (op == 0)
1257 return 0;
1261 if (GET_CODE (op) != MEM
1262 || GET_MODE (op) != mode)
1263 return 0;
1264 op = XEXP (op, 0);
1266 /* LEGITIMIZE_RELOAD_ADDRESS creates (plus (plus reg const_hi) const_lo)
1267 sorts of constructs. Dig for the real base register. */
1268 if (reload_in_progress
1269 && GET_CODE (op) == PLUS
1270 && GET_CODE (XEXP (op, 0)) == PLUS)
1271 base = XEXP (XEXP (op, 0), 0);
1272 else
1274 if (! memory_address_p (mode, op))
1275 return 0;
1276 base = (GET_CODE (op) == PLUS ? XEXP (op, 0) : op);
1279 return (GET_CODE (base) == REG && REGNO_POINTER_ALIGN (REGNO (base)) < 32);
1282 /* Return 1 if OP is either a register or an unaligned memory location. */
1285 reg_or_unaligned_mem_operand (rtx op, enum machine_mode mode)
1287 return register_operand (op, mode) || unaligned_memory_operand (op, mode);
1290 /* Return 1 if OP is any memory location. During reload a pseudo matches. */
1293 any_memory_operand (rtx op, enum machine_mode mode ATTRIBUTE_UNUSED)
1295 return (GET_CODE (op) == MEM
1296 || (GET_CODE (op) == SUBREG && GET_CODE (SUBREG_REG (op)) == REG)
1297 || (reload_in_progress && GET_CODE (op) == REG
1298 && REGNO (op) >= FIRST_PSEUDO_REGISTER)
1299 || (reload_in_progress && GET_CODE (op) == SUBREG
1300 && GET_CODE (SUBREG_REG (op)) == REG
1301 && REGNO (SUBREG_REG (op)) >= FIRST_PSEUDO_REGISTER));
1304 /* Returns 1 if OP is not an eliminable register.
1306 This exists to cure a pathological abort in the s8addq (et al) patterns,
1308 long foo () { long t; bar(); return (long) &t * 26107; }
1310 which run afoul of a hack in reload to cure a (presumably) similar
1311 problem with lea-type instructions on other targets. But there is
1312 one of us and many of them, so work around the problem by selectively
1313 preventing combine from making the optimization. */
1316 reg_not_elim_operand (rtx op, enum machine_mode mode)
1318 rtx inner = op;
1319 if (GET_CODE (op) == SUBREG)
1320 inner = SUBREG_REG (op);
1321 if (inner == frame_pointer_rtx || inner == arg_pointer_rtx)
1322 return 0;
1324 return register_operand (op, mode);
1327 /* Return 1 is OP is a memory location that is not a reference (using
1328 an AND) to an unaligned location. Take into account what reload
1329 will do. */
1332 normal_memory_operand (rtx op, enum machine_mode mode ATTRIBUTE_UNUSED)
1334 if (reload_in_progress)
1336 rtx tmp = op;
1337 if (GET_CODE (tmp) == SUBREG)
1338 tmp = SUBREG_REG (tmp);
1339 if (GET_CODE (tmp) == REG
1340 && REGNO (tmp) >= FIRST_PSEUDO_REGISTER)
1342 op = reg_equiv_memory_loc[REGNO (tmp)];
1344 /* This may not have been assigned an equivalent address if it will
1345 be eliminated. In that case, it doesn't matter what we do. */
1346 if (op == 0)
1347 return 1;
1351 return GET_CODE (op) == MEM && GET_CODE (XEXP (op, 0)) != AND;
1354 /* Accept a register, but not a subreg of any kind. This allows us to
1355 avoid pathological cases in reload wrt data movement common in
1356 int->fp conversion. */
1359 reg_no_subreg_operand (rtx op, enum machine_mode mode)
1361 if (GET_CODE (op) != REG)
1362 return 0;
1363 return register_operand (op, mode);
1366 /* Recognize an addition operation that includes a constant. Used to
1367 convince reload to canonize (plus (plus reg c1) c2) during register
1368 elimination. */
1371 addition_operation (rtx op, enum machine_mode mode)
1373 if (GET_MODE (op) != mode && mode != VOIDmode)
1374 return 0;
1375 if (GET_CODE (op) == PLUS
1376 && register_operand (XEXP (op, 0), mode)
1377 && GET_CODE (XEXP (op, 1)) == CONST_INT
1378 && CONST_OK_FOR_LETTER_P (INTVAL (XEXP (op, 1)), 'K'))
1379 return 1;
1380 return 0;
1383 /* Implements CONST_OK_FOR_LETTER_P. Return true if the value matches
1384 the range defined for C in [I-P]. */
1386 bool
1387 alpha_const_ok_for_letter_p (HOST_WIDE_INT value, int c)
1389 switch (c)
1391 case 'I':
1392 /* An unsigned 8 bit constant. */
1393 return (unsigned HOST_WIDE_INT) value < 0x100;
1394 case 'J':
1395 /* The constant zero. */
1396 return value == 0;
1397 case 'K':
1398 /* A signed 16 bit constant. */
1399 return (unsigned HOST_WIDE_INT) (value + 0x8000) < 0x10000;
1400 case 'L':
1401 /* A shifted signed 16 bit constant appropriate for LDAH. */
1402 return ((value & 0xffff) == 0
1403 && ((value) >> 31 == -1 || value >> 31 == 0));
1404 case 'M':
1405 /* A constant that can be AND'ed with using a ZAP insn. */
1406 return zap_mask (value);
1407 case 'N':
1408 /* A complemented unsigned 8 bit constant. */
1409 return (unsigned HOST_WIDE_INT) (~ value) < 0x100;
1410 case 'O':
1411 /* A negated unsigned 8 bit constant. */
1412 return (unsigned HOST_WIDE_INT) (- value) < 0x100;
1413 case 'P':
1414 /* The constant 1, 2 or 3. */
1415 return value == 1 || value == 2 || value == 3;
1417 default:
1418 return false;
1422 /* Implements CONST_DOUBLE_OK_FOR_LETTER_P. Return true if VALUE
1423 matches for C in [GH]. */
1425 bool
1426 alpha_const_double_ok_for_letter_p (rtx value, int c)
1428 switch (c)
1430 case 'G':
1431 /* The floating point zero constant. */
1432 return (GET_MODE_CLASS (GET_MODE (value)) == MODE_FLOAT
1433 && value == CONST0_RTX (GET_MODE (value)));
1435 case 'H':
1436 /* A valid operand of a ZAP insn. */
1437 return (GET_MODE (value) == VOIDmode
1438 && zap_mask (CONST_DOUBLE_LOW (value))
1439 && zap_mask (CONST_DOUBLE_HIGH (value)));
1441 default:
1442 return false;
1446 /* Implements CONST_DOUBLE_OK_FOR_LETTER_P. Return true if VALUE
1447 matches for C. */
1449 bool
1450 alpha_extra_constraint (rtx value, int c)
1452 switch (c)
1454 case 'Q':
1455 return normal_memory_operand (value, VOIDmode);
1456 case 'R':
1457 return direct_call_operand (value, Pmode);
1458 case 'S':
1459 return (GET_CODE (value) == CONST_INT
1460 && (unsigned HOST_WIDE_INT) INTVAL (value) < 64);
1461 case 'T':
1462 return GET_CODE (value) == HIGH;
1463 case 'U':
1464 return TARGET_ABI_UNICOSMK && symbolic_operand (value, VOIDmode);
1465 case 'W':
1466 return (GET_CODE (value) == CONST_VECTOR
1467 && value == CONST0_RTX (GET_MODE (value)));
1468 default:
1469 return false;
1473 /* Return 1 if this function can directly return via $26. */
1476 direct_return (void)
1478 return (! TARGET_ABI_OPEN_VMS && ! TARGET_ABI_UNICOSMK
1479 && reload_completed
1480 && alpha_sa_size () == 0
1481 && get_frame_size () == 0
1482 && current_function_outgoing_args_size == 0
1483 && current_function_pretend_args_size == 0);
1486 /* Return the ADDR_VEC associated with a tablejump insn. */
1489 alpha_tablejump_addr_vec (rtx insn)
1491 rtx tmp;
1493 tmp = JUMP_LABEL (insn);
1494 if (!tmp)
1495 return NULL_RTX;
1496 tmp = NEXT_INSN (tmp);
1497 if (!tmp)
1498 return NULL_RTX;
1499 if (GET_CODE (tmp) == JUMP_INSN
1500 && GET_CODE (PATTERN (tmp)) == ADDR_DIFF_VEC)
1501 return PATTERN (tmp);
1502 return NULL_RTX;
1505 /* Return the label of the predicted edge, or CONST0_RTX if we don't know. */
1508 alpha_tablejump_best_label (rtx insn)
1510 rtx jump_table = alpha_tablejump_addr_vec (insn);
1511 rtx best_label = NULL_RTX;
1513 /* ??? Once the CFG doesn't keep getting completely rebuilt, look
1514 there for edge frequency counts from profile data. */
1516 if (jump_table)
1518 int n_labels = XVECLEN (jump_table, 1);
1519 int best_count = -1;
1520 int i, j;
1522 for (i = 0; i < n_labels; i++)
1524 int count = 1;
1526 for (j = i + 1; j < n_labels; j++)
1527 if (XEXP (XVECEXP (jump_table, 1, i), 0)
1528 == XEXP (XVECEXP (jump_table, 1, j), 0))
1529 count++;
1531 if (count > best_count)
1532 best_count = count, best_label = XVECEXP (jump_table, 1, i);
1536 return best_label ? best_label : const0_rtx;
1539 /* Return the TLS model to use for SYMBOL. */
1541 static enum tls_model
1542 tls_symbolic_operand_type (rtx symbol)
1544 enum tls_model model;
1546 if (GET_CODE (symbol) != SYMBOL_REF)
1547 return 0;
1548 model = SYMBOL_REF_TLS_MODEL (symbol);
1550 /* Local-exec with a 64-bit size is the same code as initial-exec. */
1551 if (model == TLS_MODEL_LOCAL_EXEC && alpha_tls_size == 64)
1552 model = TLS_MODEL_INITIAL_EXEC;
1554 return model;
1557 /* Return true if the function DECL will share the same GP as any
1558 function in the current unit of translation. */
1560 static bool
1561 decl_has_samegp (tree decl)
1563 /* Functions that are not local can be overridden, and thus may
1564 not share the same gp. */
1565 if (!(*targetm.binds_local_p) (decl))
1566 return false;
1568 /* If -msmall-data is in effect, assume that there is only one GP
1569 for the module, and so any local symbol has this property. We
1570 need explicit relocations to be able to enforce this for symbols
1571 not defined in this unit of translation, however. */
1572 if (TARGET_EXPLICIT_RELOCS && TARGET_SMALL_DATA)
1573 return true;
1575 /* Functions that are not external are defined in this UoT. */
1576 /* ??? Irritatingly, static functions not yet emitted are still
1577 marked "external". Apply this to non-static functions only. */
1578 return !TREE_PUBLIC (decl) || !DECL_EXTERNAL (decl);
1581 /* Return true if EXP should be placed in the small data section. */
1583 static bool
1584 alpha_in_small_data_p (tree exp)
1586 /* We want to merge strings, so we never consider them small data. */
1587 if (TREE_CODE (exp) == STRING_CST)
1588 return false;
1590 if (TREE_CODE (exp) == VAR_DECL && DECL_SECTION_NAME (exp))
1592 const char *section = TREE_STRING_POINTER (DECL_SECTION_NAME (exp));
1593 if (strcmp (section, ".sdata") == 0
1594 || strcmp (section, ".sbss") == 0)
1595 return true;
1597 else
1599 HOST_WIDE_INT size = int_size_in_bytes (TREE_TYPE (exp));
1601 /* If this is an incomplete type with size 0, then we can't put it
1602 in sdata because it might be too big when completed. */
1603 if (size > 0 && (unsigned HOST_WIDE_INT) size <= g_switch_value)
1604 return true;
1607 return false;
1610 #if TARGET_ABI_OPEN_VMS
1611 static bool
1612 alpha_linkage_symbol_p (const char *symname)
1614 int symlen = strlen (symname);
1616 if (symlen > 4)
1617 return strcmp (&symname [symlen - 4], "..lk") == 0;
1619 return false;
1622 #define LINKAGE_SYMBOL_REF_P(X) \
1623 ((GET_CODE (X) == SYMBOL_REF \
1624 && alpha_linkage_symbol_p (XSTR (X, 0))) \
1625 || (GET_CODE (X) == CONST \
1626 && GET_CODE (XEXP (X, 0)) == PLUS \
1627 && GET_CODE (XEXP (XEXP (X, 0), 0)) == SYMBOL_REF \
1628 && alpha_linkage_symbol_p (XSTR (XEXP (XEXP (X, 0), 0), 0))))
1629 #endif
1631 /* legitimate_address_p recognizes an RTL expression that is a valid
1632 memory address for an instruction. The MODE argument is the
1633 machine mode for the MEM expression that wants to use this address.
1635 For Alpha, we have either a constant address or the sum of a
1636 register and a constant address, or just a register. For DImode,
1637 any of those forms can be surrounded with an AND that clear the
1638 low-order three bits; this is an "unaligned" access. */
1640 bool
1641 alpha_legitimate_address_p (enum machine_mode mode, rtx x, int strict)
1643 /* If this is an ldq_u type address, discard the outer AND. */
1644 if (mode == DImode
1645 && GET_CODE (x) == AND
1646 && GET_CODE (XEXP (x, 1)) == CONST_INT
1647 && INTVAL (XEXP (x, 1)) == -8)
1648 x = XEXP (x, 0);
1650 /* Discard non-paradoxical subregs. */
1651 if (GET_CODE (x) == SUBREG
1652 && (GET_MODE_SIZE (GET_MODE (x))
1653 < GET_MODE_SIZE (GET_MODE (SUBREG_REG (x)))))
1654 x = SUBREG_REG (x);
1656 /* Unadorned general registers are valid. */
1657 if (REG_P (x)
1658 && (strict
1659 ? STRICT_REG_OK_FOR_BASE_P (x)
1660 : NONSTRICT_REG_OK_FOR_BASE_P (x)))
1661 return true;
1663 /* Constant addresses (i.e. +/- 32k) are valid. */
1664 if (CONSTANT_ADDRESS_P (x))
1665 return true;
1667 #if TARGET_ABI_OPEN_VMS
1668 if (LINKAGE_SYMBOL_REF_P (x))
1669 return true;
1670 #endif
1672 /* Register plus a small constant offset is valid. */
1673 if (GET_CODE (x) == PLUS)
1675 rtx ofs = XEXP (x, 1);
1676 x = XEXP (x, 0);
1678 /* Discard non-paradoxical subregs. */
1679 if (GET_CODE (x) == SUBREG
1680 && (GET_MODE_SIZE (GET_MODE (x))
1681 < GET_MODE_SIZE (GET_MODE (SUBREG_REG (x)))))
1682 x = SUBREG_REG (x);
1684 if (REG_P (x))
1686 if (! strict
1687 && NONSTRICT_REG_OK_FP_BASE_P (x)
1688 && GET_CODE (ofs) == CONST_INT)
1689 return true;
1690 if ((strict
1691 ? STRICT_REG_OK_FOR_BASE_P (x)
1692 : NONSTRICT_REG_OK_FOR_BASE_P (x))
1693 && CONSTANT_ADDRESS_P (ofs))
1694 return true;
1696 else if (GET_CODE (x) == ADDRESSOF
1697 && GET_CODE (ofs) == CONST_INT)
1698 return true;
1701 /* If we're managing explicit relocations, LO_SUM is valid, as
1702 are small data symbols. */
1703 else if (TARGET_EXPLICIT_RELOCS)
1705 if (small_symbolic_operand (x, Pmode))
1706 return true;
1708 if (GET_CODE (x) == LO_SUM)
1710 rtx ofs = XEXP (x, 1);
1711 x = XEXP (x, 0);
1713 /* Discard non-paradoxical subregs. */
1714 if (GET_CODE (x) == SUBREG
1715 && (GET_MODE_SIZE (GET_MODE (x))
1716 < GET_MODE_SIZE (GET_MODE (SUBREG_REG (x)))))
1717 x = SUBREG_REG (x);
1719 /* Must have a valid base register. */
1720 if (! (REG_P (x)
1721 && (strict
1722 ? STRICT_REG_OK_FOR_BASE_P (x)
1723 : NONSTRICT_REG_OK_FOR_BASE_P (x))))
1724 return false;
1726 /* The symbol must be local. */
1727 if (local_symbolic_operand (ofs, Pmode)
1728 || dtp32_symbolic_operand (ofs, Pmode)
1729 || tp32_symbolic_operand (ofs, Pmode))
1730 return true;
1734 return false;
1737 /* Build the SYMBOL_REF for __tls_get_addr. */
1739 static GTY(()) rtx tls_get_addr_libfunc;
1741 static rtx
1742 get_tls_get_addr (void)
1744 if (!tls_get_addr_libfunc)
1745 tls_get_addr_libfunc = init_one_libfunc ("__tls_get_addr");
1746 return tls_get_addr_libfunc;
1749 /* Try machine-dependent ways of modifying an illegitimate address
1750 to be legitimate. If we find one, return the new, valid address. */
1753 alpha_legitimize_address (rtx x, rtx scratch,
1754 enum machine_mode mode ATTRIBUTE_UNUSED)
1756 HOST_WIDE_INT addend;
1758 /* If the address is (plus reg const_int) and the CONST_INT is not a
1759 valid offset, compute the high part of the constant and add it to
1760 the register. Then our address is (plus temp low-part-const). */
1761 if (GET_CODE (x) == PLUS
1762 && GET_CODE (XEXP (x, 0)) == REG
1763 && GET_CODE (XEXP (x, 1)) == CONST_INT
1764 && ! CONSTANT_ADDRESS_P (XEXP (x, 1)))
1766 addend = INTVAL (XEXP (x, 1));
1767 x = XEXP (x, 0);
1768 goto split_addend;
1771 /* If the address is (const (plus FOO const_int)), find the low-order
1772 part of the CONST_INT. Then load FOO plus any high-order part of the
1773 CONST_INT into a register. Our address is (plus reg low-part-const).
1774 This is done to reduce the number of GOT entries. */
1775 if (!no_new_pseudos
1776 && GET_CODE (x) == CONST
1777 && GET_CODE (XEXP (x, 0)) == PLUS
1778 && GET_CODE (XEXP (XEXP (x, 0), 1)) == CONST_INT)
1780 addend = INTVAL (XEXP (XEXP (x, 0), 1));
1781 x = force_reg (Pmode, XEXP (XEXP (x, 0), 0));
1782 goto split_addend;
1785 /* If we have a (plus reg const), emit the load as in (2), then add
1786 the two registers, and finally generate (plus reg low-part-const) as
1787 our address. */
1788 if (!no_new_pseudos
1789 && GET_CODE (x) == PLUS
1790 && GET_CODE (XEXP (x, 0)) == REG
1791 && GET_CODE (XEXP (x, 1)) == CONST
1792 && GET_CODE (XEXP (XEXP (x, 1), 0)) == PLUS
1793 && GET_CODE (XEXP (XEXP (XEXP (x, 1), 0), 1)) == CONST_INT)
1795 addend = INTVAL (XEXP (XEXP (XEXP (x, 1), 0), 1));
1796 x = expand_simple_binop (Pmode, PLUS, XEXP (x, 0),
1797 XEXP (XEXP (XEXP (x, 1), 0), 0),
1798 NULL_RTX, 1, OPTAB_LIB_WIDEN);
1799 goto split_addend;
1802 /* If this is a local symbol, split the address into HIGH/LO_SUM parts. */
1803 if (TARGET_EXPLICIT_RELOCS && symbolic_operand (x, Pmode))
1805 rtx r0, r16, eqv, tga, tp, insn, dest, seq;
1807 switch (tls_symbolic_operand_type (x))
1809 case TLS_MODEL_GLOBAL_DYNAMIC:
1810 start_sequence ();
1812 r0 = gen_rtx_REG (Pmode, 0);
1813 r16 = gen_rtx_REG (Pmode, 16);
1814 tga = get_tls_get_addr ();
1815 dest = gen_reg_rtx (Pmode);
1816 seq = GEN_INT (alpha_next_sequence_number++);
1818 emit_insn (gen_movdi_er_tlsgd (r16, pic_offset_table_rtx, x, seq));
1819 insn = gen_call_value_osf_tlsgd (r0, tga, seq);
1820 insn = emit_call_insn (insn);
1821 CONST_OR_PURE_CALL_P (insn) = 1;
1822 use_reg (&CALL_INSN_FUNCTION_USAGE (insn), r16);
1824 insn = get_insns ();
1825 end_sequence ();
1827 emit_libcall_block (insn, dest, r0, x);
1828 return dest;
1830 case TLS_MODEL_LOCAL_DYNAMIC:
1831 start_sequence ();
1833 r0 = gen_rtx_REG (Pmode, 0);
1834 r16 = gen_rtx_REG (Pmode, 16);
1835 tga = get_tls_get_addr ();
1836 scratch = gen_reg_rtx (Pmode);
1837 seq = GEN_INT (alpha_next_sequence_number++);
1839 emit_insn (gen_movdi_er_tlsldm (r16, pic_offset_table_rtx, seq));
1840 insn = gen_call_value_osf_tlsldm (r0, tga, seq);
1841 insn = emit_call_insn (insn);
1842 CONST_OR_PURE_CALL_P (insn) = 1;
1843 use_reg (&CALL_INSN_FUNCTION_USAGE (insn), r16);
1845 insn = get_insns ();
1846 end_sequence ();
1848 eqv = gen_rtx_UNSPEC (Pmode, gen_rtvec (1, const0_rtx),
1849 UNSPEC_TLSLDM_CALL);
1850 emit_libcall_block (insn, scratch, r0, eqv);
1852 eqv = gen_rtx_UNSPEC (Pmode, gen_rtvec (1, x), UNSPEC_DTPREL);
1853 eqv = gen_rtx_CONST (Pmode, eqv);
1855 if (alpha_tls_size == 64)
1857 dest = gen_reg_rtx (Pmode);
1858 emit_insn (gen_rtx_SET (VOIDmode, dest, eqv));
1859 emit_insn (gen_adddi3 (dest, dest, scratch));
1860 return dest;
1862 if (alpha_tls_size == 32)
1864 insn = gen_rtx_HIGH (Pmode, eqv);
1865 insn = gen_rtx_PLUS (Pmode, scratch, insn);
1866 scratch = gen_reg_rtx (Pmode);
1867 emit_insn (gen_rtx_SET (VOIDmode, scratch, insn));
1869 return gen_rtx_LO_SUM (Pmode, scratch, eqv);
1871 case TLS_MODEL_INITIAL_EXEC:
1872 eqv = gen_rtx_UNSPEC (Pmode, gen_rtvec (1, x), UNSPEC_TPREL);
1873 eqv = gen_rtx_CONST (Pmode, eqv);
1874 tp = gen_reg_rtx (Pmode);
1875 scratch = gen_reg_rtx (Pmode);
1876 dest = gen_reg_rtx (Pmode);
1878 emit_insn (gen_load_tp (tp));
1879 emit_insn (gen_rtx_SET (VOIDmode, scratch, eqv));
1880 emit_insn (gen_adddi3 (dest, tp, scratch));
1881 return dest;
1883 case TLS_MODEL_LOCAL_EXEC:
1884 eqv = gen_rtx_UNSPEC (Pmode, gen_rtvec (1, x), UNSPEC_TPREL);
1885 eqv = gen_rtx_CONST (Pmode, eqv);
1886 tp = gen_reg_rtx (Pmode);
1888 emit_insn (gen_load_tp (tp));
1889 if (alpha_tls_size == 32)
1891 insn = gen_rtx_HIGH (Pmode, eqv);
1892 insn = gen_rtx_PLUS (Pmode, tp, insn);
1893 tp = gen_reg_rtx (Pmode);
1894 emit_insn (gen_rtx_SET (VOIDmode, tp, insn));
1896 return gen_rtx_LO_SUM (Pmode, tp, eqv);
1899 if (local_symbolic_operand (x, Pmode))
1901 if (small_symbolic_operand (x, Pmode))
1902 return x;
1903 else
1905 if (!no_new_pseudos)
1906 scratch = gen_reg_rtx (Pmode);
1907 emit_insn (gen_rtx_SET (VOIDmode, scratch,
1908 gen_rtx_HIGH (Pmode, x)));
1909 return gen_rtx_LO_SUM (Pmode, scratch, x);
1914 return NULL;
1916 split_addend:
1918 HOST_WIDE_INT low, high;
1920 low = ((addend & 0xffff) ^ 0x8000) - 0x8000;
1921 addend -= low;
1922 high = ((addend & 0xffffffff) ^ 0x80000000) - 0x80000000;
1923 addend -= high;
1925 if (addend)
1926 x = expand_simple_binop (Pmode, PLUS, x, GEN_INT (addend),
1927 (no_new_pseudos ? scratch : NULL_RTX),
1928 1, OPTAB_LIB_WIDEN);
1929 if (high)
1930 x = expand_simple_binop (Pmode, PLUS, x, GEN_INT (high),
1931 (no_new_pseudos ? scratch : NULL_RTX),
1932 1, OPTAB_LIB_WIDEN);
1934 return plus_constant (x, low);
1938 /* We do not allow indirect calls to be optimized into sibling calls, nor
1939 can we allow a call to a function with a different GP to be optimized
1940 into a sibcall. */
1942 static bool
1943 alpha_function_ok_for_sibcall (tree decl, tree exp ATTRIBUTE_UNUSED)
1945 /* Can't do indirect tail calls, since we don't know if the target
1946 uses the same GP. */
1947 if (!decl)
1948 return false;
1950 /* Otherwise, we can make a tail call if the target function shares
1951 the same GP. */
1952 return decl_has_samegp (decl);
1955 /* For TARGET_EXPLICIT_RELOCS, we don't obfuscate a SYMBOL_REF to a
1956 small symbolic operand until after reload. At which point we need
1957 to replace (mem (symbol_ref)) with (mem (lo_sum $29 symbol_ref))
1958 so that sched2 has the proper dependency information. */
1960 static int
1961 some_small_symbolic_operand_1 (rtx *px, void *data ATTRIBUTE_UNUSED)
1963 rtx x = *px;
1965 /* Don't re-split. */
1966 if (GET_CODE (x) == LO_SUM)
1967 return -1;
1969 return small_symbolic_operand (x, Pmode) != 0;
1973 some_small_symbolic_operand (rtx x, enum machine_mode mode ATTRIBUTE_UNUSED)
1975 return for_each_rtx (&x, some_small_symbolic_operand_1, NULL);
1978 static int
1979 split_small_symbolic_operand_1 (rtx *px, void *data ATTRIBUTE_UNUSED)
1981 rtx x = *px;
1983 /* Don't re-split. */
1984 if (GET_CODE (x) == LO_SUM)
1985 return -1;
1987 if (small_symbolic_operand (x, Pmode))
1989 x = gen_rtx_LO_SUM (Pmode, pic_offset_table_rtx, x);
1990 *px = x;
1991 return -1;
1994 return 0;
1998 split_small_symbolic_operand (rtx x)
2000 x = copy_insn (x);
2001 for_each_rtx (&x, split_small_symbolic_operand_1, NULL);
2002 return x;
2005 /* Indicate that INSN cannot be duplicated. This is true for any insn
2006 that we've marked with gpdisp relocs, since those have to stay in
2007 1-1 correspondence with one another.
2009 Technically we could copy them if we could set up a mapping from one
2010 sequence number to another, across the set of insns to be duplicated.
2011 This seems overly complicated and error-prone since interblock motion
2012 from sched-ebb could move one of the pair of insns to a different block. */
2014 static bool
2015 alpha_cannot_copy_insn_p (rtx insn)
2017 rtx pat;
2019 if (!reload_completed || !TARGET_EXPLICIT_RELOCS)
2020 return false;
2022 if (GET_CODE (insn) != INSN)
2023 return false;
2024 if (asm_noperands (insn) >= 0)
2025 return false;
2027 pat = PATTERN (insn);
2028 if (GET_CODE (pat) != SET)
2029 return false;
2030 pat = SET_SRC (pat);
2031 if (GET_CODE (pat) == UNSPEC_VOLATILE)
2033 if (XINT (pat, 1) == UNSPECV_LDGP1
2034 || XINT (pat, 1) == UNSPECV_PLDGP2)
2035 return true;
2037 else if (GET_CODE (pat) == UNSPEC)
2039 if (XINT (pat, 1) == UNSPEC_LDGP2)
2040 return true;
2043 return false;
2047 /* Try a machine-dependent way of reloading an illegitimate address
2048 operand. If we find one, push the reload and return the new rtx. */
2051 alpha_legitimize_reload_address (rtx x,
2052 enum machine_mode mode ATTRIBUTE_UNUSED,
2053 int opnum, int type,
2054 int ind_levels ATTRIBUTE_UNUSED)
2056 /* We must recognize output that we have already generated ourselves. */
2057 if (GET_CODE (x) == PLUS
2058 && GET_CODE (XEXP (x, 0)) == PLUS
2059 && GET_CODE (XEXP (XEXP (x, 0), 0)) == REG
2060 && GET_CODE (XEXP (XEXP (x, 0), 1)) == CONST_INT
2061 && GET_CODE (XEXP (x, 1)) == CONST_INT)
2063 push_reload (XEXP (x, 0), NULL_RTX, &XEXP (x, 0), NULL,
2064 BASE_REG_CLASS, GET_MODE (x), VOIDmode, 0, 0,
2065 opnum, type);
2066 return x;
2069 /* We wish to handle large displacements off a base register by
2070 splitting the addend across an ldah and the mem insn. This
2071 cuts number of extra insns needed from 3 to 1. */
2072 if (GET_CODE (x) == PLUS
2073 && GET_CODE (XEXP (x, 0)) == REG
2074 && REGNO (XEXP (x, 0)) < FIRST_PSEUDO_REGISTER
2075 && REGNO_OK_FOR_BASE_P (REGNO (XEXP (x, 0)))
2076 && GET_CODE (XEXP (x, 1)) == CONST_INT)
2078 HOST_WIDE_INT val = INTVAL (XEXP (x, 1));
2079 HOST_WIDE_INT low = ((val & 0xffff) ^ 0x8000) - 0x8000;
2080 HOST_WIDE_INT high
2081 = (((val - low) & 0xffffffff) ^ 0x80000000) - 0x80000000;
2083 /* Check for 32-bit overflow. */
2084 if (high + low != val)
2085 return NULL_RTX;
2087 /* Reload the high part into a base reg; leave the low part
2088 in the mem directly. */
2089 x = gen_rtx_PLUS (GET_MODE (x),
2090 gen_rtx_PLUS (GET_MODE (x), XEXP (x, 0),
2091 GEN_INT (high)),
2092 GEN_INT (low));
2094 push_reload (XEXP (x, 0), NULL_RTX, &XEXP (x, 0), NULL,
2095 BASE_REG_CLASS, GET_MODE (x), VOIDmode, 0, 0,
2096 opnum, type);
2097 return x;
2100 return NULL_RTX;
2103 /* Compute a (partial) cost for rtx X. Return true if the complete
2104 cost has been computed, and false if subexpressions should be
2105 scanned. In either case, *TOTAL contains the cost result. */
2107 static bool
2108 alpha_rtx_costs (rtx x, int code, int outer_code, int *total)
2110 enum machine_mode mode = GET_MODE (x);
2111 bool float_mode_p = FLOAT_MODE_P (mode);
2113 switch (code)
2115 /* If this is an 8-bit constant, return zero since it can be used
2116 nearly anywhere with no cost. If it is a valid operand for an
2117 ADD or AND, likewise return 0 if we know it will be used in that
2118 context. Otherwise, return 2 since it might be used there later.
2119 All other constants take at least two insns. */
2120 case CONST_INT:
2121 if (INTVAL (x) >= 0 && INTVAL (x) < 256)
2123 *total = 0;
2124 return true;
2126 /* FALLTHRU */
2128 case CONST_DOUBLE:
2129 if (x == CONST0_RTX (mode))
2130 *total = 0;
2131 else if ((outer_code == PLUS && add_operand (x, VOIDmode))
2132 || (outer_code == AND && and_operand (x, VOIDmode)))
2133 *total = 0;
2134 else if (add_operand (x, VOIDmode) || and_operand (x, VOIDmode))
2135 *total = 2;
2136 else
2137 *total = COSTS_N_INSNS (2);
2138 return true;
2140 case CONST:
2141 case SYMBOL_REF:
2142 case LABEL_REF:
2143 if (TARGET_EXPLICIT_RELOCS && small_symbolic_operand (x, VOIDmode))
2144 *total = COSTS_N_INSNS (outer_code != MEM);
2145 else if (TARGET_EXPLICIT_RELOCS && local_symbolic_operand (x, VOIDmode))
2146 *total = COSTS_N_INSNS (1 + (outer_code != MEM));
2147 else if (tls_symbolic_operand_type (x))
2148 /* Estimate of cost for call_pal rduniq. */
2149 *total = COSTS_N_INSNS (15);
2150 else
2151 /* Otherwise we do a load from the GOT. */
2152 *total = COSTS_N_INSNS (alpha_memory_latency);
2153 return true;
2155 case PLUS:
2156 case MINUS:
2157 if (float_mode_p)
2158 *total = alpha_rtx_cost_data[alpha_cpu].fp_add;
2159 else if (GET_CODE (XEXP (x, 0)) == MULT
2160 && const48_operand (XEXP (XEXP (x, 0), 1), VOIDmode))
2162 *total = (rtx_cost (XEXP (XEXP (x, 0), 0), outer_code)
2163 + rtx_cost (XEXP (x, 1), outer_code) + 2);
2164 return true;
2166 return false;
2168 case MULT:
2169 if (float_mode_p)
2170 *total = alpha_rtx_cost_data[alpha_cpu].fp_mult;
2171 else if (mode == DImode)
2172 *total = alpha_rtx_cost_data[alpha_cpu].int_mult_di;
2173 else
2174 *total = alpha_rtx_cost_data[alpha_cpu].int_mult_si;
2175 return false;
2177 case ASHIFT:
2178 if (GET_CODE (XEXP (x, 1)) == CONST_INT
2179 && INTVAL (XEXP (x, 1)) <= 3)
2181 *total = COSTS_N_INSNS (1);
2182 return false;
2184 /* FALLTHRU */
2186 case ASHIFTRT:
2187 case LSHIFTRT:
2188 *total = alpha_rtx_cost_data[alpha_cpu].int_shift;
2189 return false;
2191 case IF_THEN_ELSE:
2192 if (float_mode_p)
2193 *total = alpha_rtx_cost_data[alpha_cpu].fp_add;
2194 else
2195 *total = alpha_rtx_cost_data[alpha_cpu].int_cmov;
2196 return false;
2198 case DIV:
2199 case UDIV:
2200 case MOD:
2201 case UMOD:
2202 if (!float_mode_p)
2203 *total = COSTS_N_INSNS (70); /* ??? */
2204 else if (mode == SFmode)
2205 *total = alpha_rtx_cost_data[alpha_cpu].fp_div_sf;
2206 else
2207 *total = alpha_rtx_cost_data[alpha_cpu].fp_div_df;
2208 return false;
2210 case MEM:
2211 *total = COSTS_N_INSNS (alpha_memory_latency);
2212 return true;
2214 case NEG:
2215 if (! float_mode_p)
2217 *total = COSTS_N_INSNS (1);
2218 return false;
2220 /* FALLTHRU */
2222 case ABS:
2223 if (! float_mode_p)
2225 *total = COSTS_N_INSNS (1) + alpha_rtx_cost_data[alpha_cpu].int_cmov;
2226 return false;
2228 /* FALLTHRU */
2230 case FLOAT:
2231 case UNSIGNED_FLOAT:
2232 case FIX:
2233 case UNSIGNED_FIX:
2234 case FLOAT_EXTEND:
2235 case FLOAT_TRUNCATE:
2236 *total = alpha_rtx_cost_data[alpha_cpu].fp_add;
2237 return false;
2239 default:
2240 return false;
2244 /* REF is an alignable memory location. Place an aligned SImode
2245 reference into *PALIGNED_MEM and the number of bits to shift into
2246 *PBITNUM. SCRATCH is a free register for use in reloading out
2247 of range stack slots. */
2249 void
2250 get_aligned_mem (rtx ref, rtx *paligned_mem, rtx *pbitnum)
2252 rtx base;
2253 HOST_WIDE_INT offset = 0;
2255 if (GET_CODE (ref) != MEM)
2256 abort ();
2258 if (reload_in_progress
2259 && ! memory_address_p (GET_MODE (ref), XEXP (ref, 0)))
2261 base = find_replacement (&XEXP (ref, 0));
2263 if (! memory_address_p (GET_MODE (ref), base))
2264 abort ();
2266 else
2268 base = XEXP (ref, 0);
2271 if (GET_CODE (base) == PLUS)
2272 offset += INTVAL (XEXP (base, 1)), base = XEXP (base, 0);
2274 *paligned_mem
2275 = widen_memory_access (ref, SImode, (offset & ~3) - offset);
2277 if (WORDS_BIG_ENDIAN)
2278 *pbitnum = GEN_INT (32 - (GET_MODE_BITSIZE (GET_MODE (ref))
2279 + (offset & 3) * 8));
2280 else
2281 *pbitnum = GEN_INT ((offset & 3) * 8);
2284 /* Similar, but just get the address. Handle the two reload cases.
2285 Add EXTRA_OFFSET to the address we return. */
2288 get_unaligned_address (rtx ref, int extra_offset)
2290 rtx base;
2291 HOST_WIDE_INT offset = 0;
2293 if (GET_CODE (ref) != MEM)
2294 abort ();
2296 if (reload_in_progress
2297 && ! memory_address_p (GET_MODE (ref), XEXP (ref, 0)))
2299 base = find_replacement (&XEXP (ref, 0));
2301 if (! memory_address_p (GET_MODE (ref), base))
2302 abort ();
2304 else
2306 base = XEXP (ref, 0);
2309 if (GET_CODE (base) == PLUS)
2310 offset += INTVAL (XEXP (base, 1)), base = XEXP (base, 0);
2312 return plus_constant (base, offset + extra_offset);
2315 /* On the Alpha, all (non-symbolic) constants except zero go into
2316 a floating-point register via memory. Note that we cannot
2317 return anything that is not a subset of CLASS, and that some
2318 symbolic constants cannot be dropped to memory. */
2320 enum reg_class
2321 alpha_preferred_reload_class(rtx x, enum reg_class class)
2323 /* Zero is present in any register class. */
2324 if (x == CONST0_RTX (GET_MODE (x)))
2325 return class;
2327 /* These sorts of constants we can easily drop to memory. */
2328 if (GET_CODE (x) == CONST_INT || GET_CODE (x) == CONST_DOUBLE)
2330 if (class == FLOAT_REGS)
2331 return NO_REGS;
2332 if (class == ALL_REGS)
2333 return GENERAL_REGS;
2334 return class;
2337 /* All other kinds of constants should not (and in the case of HIGH
2338 cannot) be dropped to memory -- instead we use a GENERAL_REGS
2339 secondary reload. */
2340 if (CONSTANT_P (x))
2341 return (class == ALL_REGS ? GENERAL_REGS : class);
2343 return class;
2346 /* Loading and storing HImode or QImode values to and from memory
2347 usually requires a scratch register. The exceptions are loading
2348 QImode and HImode from an aligned address to a general register
2349 unless byte instructions are permitted.
2351 We also cannot load an unaligned address or a paradoxical SUBREG
2352 into an FP register.
2354 We also cannot do integral arithmetic into FP regs, as might result
2355 from register elimination into a DImode fp register. */
2357 enum reg_class
2358 secondary_reload_class (enum reg_class class, enum machine_mode mode,
2359 rtx x, int in)
2361 if ((mode == QImode || mode == HImode) && ! TARGET_BWX)
2363 if (GET_CODE (x) == MEM
2364 || (GET_CODE (x) == REG && REGNO (x) >= FIRST_PSEUDO_REGISTER)
2365 || (GET_CODE (x) == SUBREG
2366 && (GET_CODE (SUBREG_REG (x)) == MEM
2367 || (GET_CODE (SUBREG_REG (x)) == REG
2368 && REGNO (SUBREG_REG (x)) >= FIRST_PSEUDO_REGISTER))))
2370 if (!in || !aligned_memory_operand(x, mode))
2371 return GENERAL_REGS;
2375 if (class == FLOAT_REGS)
2377 if (GET_CODE (x) == MEM && GET_CODE (XEXP (x, 0)) == AND)
2378 return GENERAL_REGS;
2380 if (GET_CODE (x) == SUBREG
2381 && (GET_MODE_SIZE (GET_MODE (x))
2382 > GET_MODE_SIZE (GET_MODE (SUBREG_REG (x)))))
2383 return GENERAL_REGS;
2385 if (in && INTEGRAL_MODE_P (mode)
2386 && ! (memory_operand (x, mode) || x == const0_rtx))
2387 return GENERAL_REGS;
2390 return NO_REGS;
2393 /* Subfunction of the following function. Update the flags of any MEM
2394 found in part of X. */
2396 static void
2397 alpha_set_memflags_1 (rtx x, int in_struct_p, int volatile_p, int unchanging_p)
2399 int i;
2401 switch (GET_CODE (x))
2403 case SEQUENCE:
2404 abort ();
2406 case PARALLEL:
2407 for (i = XVECLEN (x, 0) - 1; i >= 0; i--)
2408 alpha_set_memflags_1 (XVECEXP (x, 0, i), in_struct_p, volatile_p,
2409 unchanging_p);
2410 break;
2412 case INSN:
2413 alpha_set_memflags_1 (PATTERN (x), in_struct_p, volatile_p,
2414 unchanging_p);
2415 break;
2417 case SET:
2418 alpha_set_memflags_1 (SET_DEST (x), in_struct_p, volatile_p,
2419 unchanging_p);
2420 alpha_set_memflags_1 (SET_SRC (x), in_struct_p, volatile_p,
2421 unchanging_p);
2422 break;
2424 case MEM:
2425 MEM_IN_STRUCT_P (x) = in_struct_p;
2426 MEM_VOLATILE_P (x) = volatile_p;
2427 RTX_UNCHANGING_P (x) = unchanging_p;
2428 /* Sadly, we cannot use alias sets because the extra aliasing
2429 produced by the AND interferes. Given that two-byte quantities
2430 are the only thing we would be able to differentiate anyway,
2431 there does not seem to be any point in convoluting the early
2432 out of the alias check. */
2433 break;
2435 default:
2436 break;
2440 /* Given INSN, which is an INSN list or the PATTERN of a single insn
2441 generated to perform a memory operation, look for any MEMs in either
2442 a SET_DEST or a SET_SRC and copy the in-struct, unchanging, and
2443 volatile flags from REF into each of the MEMs found. If REF is not
2444 a MEM, don't do anything. */
2446 void
2447 alpha_set_memflags (rtx insn, rtx ref)
2449 int in_struct_p, volatile_p, unchanging_p;
2451 if (GET_CODE (ref) != MEM)
2452 return;
2454 in_struct_p = MEM_IN_STRUCT_P (ref);
2455 volatile_p = MEM_VOLATILE_P (ref);
2456 unchanging_p = RTX_UNCHANGING_P (ref);
2458 /* This is only called from alpha.md, after having had something
2459 generated from one of the insn patterns. So if everything is
2460 zero, the pattern is already up-to-date. */
2461 if (! in_struct_p && ! volatile_p && ! unchanging_p)
2462 return;
2464 alpha_set_memflags_1 (insn, in_struct_p, volatile_p, unchanging_p);
2467 /* Internal routine for alpha_emit_set_const to check for N or below insns. */
2469 static rtx
2470 alpha_emit_set_const_1 (rtx target, enum machine_mode mode,
2471 HOST_WIDE_INT c, int n)
2473 HOST_WIDE_INT new;
2474 int i, bits;
2475 /* Use a pseudo if highly optimizing and still generating RTL. */
2476 rtx subtarget
2477 = (flag_expensive_optimizations && !no_new_pseudos ? 0 : target);
2478 rtx temp, insn;
2480 /* If this is a sign-extended 32-bit constant, we can do this in at most
2481 three insns, so do it if we have enough insns left. We always have
2482 a sign-extended 32-bit constant when compiling on a narrow machine. */
2484 if (HOST_BITS_PER_WIDE_INT != 64
2485 || c >> 31 == -1 || c >> 31 == 0)
2487 HOST_WIDE_INT low = ((c & 0xffff) ^ 0x8000) - 0x8000;
2488 HOST_WIDE_INT tmp1 = c - low;
2489 HOST_WIDE_INT high = (((tmp1 >> 16) & 0xffff) ^ 0x8000) - 0x8000;
2490 HOST_WIDE_INT extra = 0;
2492 /* If HIGH will be interpreted as negative but the constant is
2493 positive, we must adjust it to do two ldha insns. */
2495 if ((high & 0x8000) != 0 && c >= 0)
2497 extra = 0x4000;
2498 tmp1 -= 0x40000000;
2499 high = ((tmp1 >> 16) & 0xffff) - 2 * ((tmp1 >> 16) & 0x8000);
2502 if (c == low || (low == 0 && extra == 0))
2504 /* We used to use copy_to_suggested_reg (GEN_INT (c), target, mode)
2505 but that meant that we can't handle INT_MIN on 32-bit machines
2506 (like NT/Alpha), because we recurse indefinitely through
2507 emit_move_insn to gen_movdi. So instead, since we know exactly
2508 what we want, create it explicitly. */
2510 if (target == NULL)
2511 target = gen_reg_rtx (mode);
2512 emit_insn (gen_rtx_SET (VOIDmode, target, GEN_INT (c)));
2513 return target;
2515 else if (n >= 2 + (extra != 0))
2517 temp = copy_to_suggested_reg (GEN_INT (high << 16), subtarget, mode);
2519 /* As of 2002-02-23, addsi3 is only available when not optimizing.
2520 This means that if we go through expand_binop, we'll try to
2521 generate extensions, etc, which will require new pseudos, which
2522 will fail during some split phases. The SImode add patterns
2523 still exist, but are not named. So build the insns by hand. */
2525 if (extra != 0)
2527 if (! subtarget)
2528 subtarget = gen_reg_rtx (mode);
2529 insn = gen_rtx_PLUS (mode, temp, GEN_INT (extra << 16));
2530 insn = gen_rtx_SET (VOIDmode, subtarget, insn);
2531 emit_insn (insn);
2532 temp = subtarget;
2535 if (target == NULL)
2536 target = gen_reg_rtx (mode);
2537 insn = gen_rtx_PLUS (mode, temp, GEN_INT (low));
2538 insn = gen_rtx_SET (VOIDmode, target, insn);
2539 emit_insn (insn);
2540 return target;
2544 /* If we couldn't do it that way, try some other methods. But if we have
2545 no instructions left, don't bother. Likewise, if this is SImode and
2546 we can't make pseudos, we can't do anything since the expand_binop
2547 and expand_unop calls will widen and try to make pseudos. */
2549 if (n == 1 || (mode == SImode && no_new_pseudos))
2550 return 0;
2552 /* Next, see if we can load a related constant and then shift and possibly
2553 negate it to get the constant we want. Try this once each increasing
2554 numbers of insns. */
2556 for (i = 1; i < n; i++)
2558 /* First, see if minus some low bits, we've an easy load of
2559 high bits. */
2561 new = ((c & 0xffff) ^ 0x8000) - 0x8000;
2562 if (new != 0
2563 && (temp = alpha_emit_set_const (subtarget, mode, c - new, i)) != 0)
2564 return expand_binop (mode, add_optab, temp, GEN_INT (new),
2565 target, 0, OPTAB_WIDEN);
2567 /* Next try complementing. */
2568 if ((temp = alpha_emit_set_const (subtarget, mode, ~ c, i)) != 0)
2569 return expand_unop (mode, one_cmpl_optab, temp, target, 0);
2571 /* Next try to form a constant and do a left shift. We can do this
2572 if some low-order bits are zero; the exact_log2 call below tells
2573 us that information. The bits we are shifting out could be any
2574 value, but here we'll just try the 0- and sign-extended forms of
2575 the constant. To try to increase the chance of having the same
2576 constant in more than one insn, start at the highest number of
2577 bits to shift, but try all possibilities in case a ZAPNOT will
2578 be useful. */
2580 if ((bits = exact_log2 (c & - c)) > 0)
2581 for (; bits > 0; bits--)
2582 if ((temp = (alpha_emit_set_const
2583 (subtarget, mode, c >> bits, i))) != 0
2584 || ((temp = (alpha_emit_set_const
2585 (subtarget, mode,
2586 ((unsigned HOST_WIDE_INT) c) >> bits, i)))
2587 != 0))
2588 return expand_binop (mode, ashl_optab, temp, GEN_INT (bits),
2589 target, 0, OPTAB_WIDEN);
2591 /* Now try high-order zero bits. Here we try the shifted-in bits as
2592 all zero and all ones. Be careful to avoid shifting outside the
2593 mode and to avoid shifting outside the host wide int size. */
2594 /* On narrow hosts, don't shift a 1 into the high bit, since we'll
2595 confuse the recursive call and set all of the high 32 bits. */
2597 if ((bits = (MIN (HOST_BITS_PER_WIDE_INT, GET_MODE_SIZE (mode) * 8)
2598 - floor_log2 (c) - 1 - (HOST_BITS_PER_WIDE_INT < 64))) > 0)
2599 for (; bits > 0; bits--)
2600 if ((temp = alpha_emit_set_const (subtarget, mode,
2601 c << bits, i)) != 0
2602 || ((temp = (alpha_emit_set_const
2603 (subtarget, mode,
2604 ((c << bits) | (((HOST_WIDE_INT) 1 << bits) - 1)),
2605 i)))
2606 != 0))
2607 return expand_binop (mode, lshr_optab, temp, GEN_INT (bits),
2608 target, 1, OPTAB_WIDEN);
2610 /* Now try high-order 1 bits. We get that with a sign-extension.
2611 But one bit isn't enough here. Be careful to avoid shifting outside
2612 the mode and to avoid shifting outside the host wide int size. */
2614 if ((bits = (MIN (HOST_BITS_PER_WIDE_INT, GET_MODE_SIZE (mode) * 8)
2615 - floor_log2 (~ c) - 2)) > 0)
2616 for (; bits > 0; bits--)
2617 if ((temp = alpha_emit_set_const (subtarget, mode,
2618 c << bits, i)) != 0
2619 || ((temp = (alpha_emit_set_const
2620 (subtarget, mode,
2621 ((c << bits) | (((HOST_WIDE_INT) 1 << bits) - 1)),
2622 i)))
2623 != 0))
2624 return expand_binop (mode, ashr_optab, temp, GEN_INT (bits),
2625 target, 0, OPTAB_WIDEN);
2628 #if HOST_BITS_PER_WIDE_INT == 64
2629 /* Finally, see if can load a value into the target that is the same as the
2630 constant except that all bytes that are 0 are changed to be 0xff. If we
2631 can, then we can do a ZAPNOT to obtain the desired constant. */
2633 new = c;
2634 for (i = 0; i < 64; i += 8)
2635 if ((new & ((HOST_WIDE_INT) 0xff << i)) == 0)
2636 new |= (HOST_WIDE_INT) 0xff << i;
2638 /* We are only called for SImode and DImode. If this is SImode, ensure that
2639 we are sign extended to a full word. */
2641 if (mode == SImode)
2642 new = ((new & 0xffffffff) ^ 0x80000000) - 0x80000000;
2644 if (new != c && new != -1
2645 && (temp = alpha_emit_set_const (subtarget, mode, new, n - 1)) != 0)
2646 return expand_binop (mode, and_optab, temp, GEN_INT (c | ~ new),
2647 target, 0, OPTAB_WIDEN);
2648 #endif
2650 return 0;
2653 /* Try to output insns to set TARGET equal to the constant C if it can be
2654 done in less than N insns. Do all computations in MODE. Returns the place
2655 where the output has been placed if it can be done and the insns have been
2656 emitted. If it would take more than N insns, zero is returned and no
2657 insns and emitted. */
2660 alpha_emit_set_const (rtx target, enum machine_mode mode,
2661 HOST_WIDE_INT c, int n)
2663 rtx result = 0;
2664 rtx orig_target = target;
2665 int i;
2667 /* If we can't make any pseudos, TARGET is an SImode hard register, we
2668 can't load this constant in one insn, do this in DImode. */
2669 if (no_new_pseudos && mode == SImode
2670 && GET_CODE (target) == REG && REGNO (target) < FIRST_PSEUDO_REGISTER
2671 && (result = alpha_emit_set_const_1 (target, mode, c, 1)) == 0)
2673 target = gen_lowpart (DImode, target);
2674 mode = DImode;
2677 /* Try 1 insn, then 2, then up to N. */
2678 for (i = 1; i <= n; i++)
2680 result = alpha_emit_set_const_1 (target, mode, c, i);
2681 if (result)
2683 rtx insn = get_last_insn ();
2684 rtx set = single_set (insn);
2685 if (! CONSTANT_P (SET_SRC (set)))
2686 set_unique_reg_note (get_last_insn (), REG_EQUAL, GEN_INT (c));
2687 break;
2691 /* Allow for the case where we changed the mode of TARGET. */
2692 if (result == target)
2693 result = orig_target;
2695 return result;
2698 /* Having failed to find a 3 insn sequence in alpha_emit_set_const,
2699 fall back to a straight forward decomposition. We do this to avoid
2700 exponential run times encountered when looking for longer sequences
2701 with alpha_emit_set_const. */
2704 alpha_emit_set_long_const (rtx target, HOST_WIDE_INT c1, HOST_WIDE_INT c2)
2706 HOST_WIDE_INT d1, d2, d3, d4;
2708 /* Decompose the entire word */
2709 #if HOST_BITS_PER_WIDE_INT >= 64
2710 if (c2 != -(c1 < 0))
2711 abort ();
2712 d1 = ((c1 & 0xffff) ^ 0x8000) - 0x8000;
2713 c1 -= d1;
2714 d2 = ((c1 & 0xffffffff) ^ 0x80000000) - 0x80000000;
2715 c1 = (c1 - d2) >> 32;
2716 d3 = ((c1 & 0xffff) ^ 0x8000) - 0x8000;
2717 c1 -= d3;
2718 d4 = ((c1 & 0xffffffff) ^ 0x80000000) - 0x80000000;
2719 if (c1 != d4)
2720 abort ();
2721 #else
2722 d1 = ((c1 & 0xffff) ^ 0x8000) - 0x8000;
2723 c1 -= d1;
2724 d2 = ((c1 & 0xffffffff) ^ 0x80000000) - 0x80000000;
2725 if (c1 != d2)
2726 abort ();
2727 c2 += (d2 < 0);
2728 d3 = ((c2 & 0xffff) ^ 0x8000) - 0x8000;
2729 c2 -= d3;
2730 d4 = ((c2 & 0xffffffff) ^ 0x80000000) - 0x80000000;
2731 if (c2 != d4)
2732 abort ();
2733 #endif
2735 /* Construct the high word */
2736 if (d4)
2738 emit_move_insn (target, GEN_INT (d4));
2739 if (d3)
2740 emit_move_insn (target, gen_rtx_PLUS (DImode, target, GEN_INT (d3)));
2742 else
2743 emit_move_insn (target, GEN_INT (d3));
2745 /* Shift it into place */
2746 emit_move_insn (target, gen_rtx_ASHIFT (DImode, target, GEN_INT (32)));
2748 /* Add in the low bits. */
2749 if (d2)
2750 emit_move_insn (target, gen_rtx_PLUS (DImode, target, GEN_INT (d2)));
2751 if (d1)
2752 emit_move_insn (target, gen_rtx_PLUS (DImode, target, GEN_INT (d1)));
2754 return target;
2757 /* Expand a move instruction; return true if all work is done.
2758 We don't handle non-bwx subword loads here. */
2760 bool
2761 alpha_expand_mov (enum machine_mode mode, rtx *operands)
2763 /* If the output is not a register, the input must be. */
2764 if (GET_CODE (operands[0]) == MEM
2765 && ! reg_or_0_operand (operands[1], mode))
2766 operands[1] = force_reg (mode, operands[1]);
2768 /* Allow legitimize_address to perform some simplifications. */
2769 if (mode == Pmode && symbolic_operand (operands[1], mode))
2771 rtx tmp;
2773 /* With RTL inlining, at -O3, rtl is generated, stored, then actually
2774 compiled at the end of compilation. In the meantime, someone can
2775 re-encode-section-info on some symbol changing it e.g. from global
2776 to local-not-small. If this happens, we'd have emitted a plain
2777 load rather than a high+losum load and not recognize the insn.
2779 So if rtl inlining is in effect, we delay the global/not-global
2780 decision until rest_of_compilation by wrapping it in an
2781 UNSPEC_SYMBOL. */
2782 if (TARGET_EXPLICIT_RELOCS && flag_inline_functions
2783 && rtx_equal_function_value_matters
2784 && global_symbolic_operand (operands[1], mode))
2786 emit_insn (gen_movdi_er_maybe_g (operands[0], operands[1]));
2787 return true;
2790 tmp = alpha_legitimize_address (operands[1], operands[0], mode);
2791 if (tmp)
2793 if (tmp == operands[0])
2794 return true;
2795 operands[1] = tmp;
2796 return false;
2800 /* Early out for non-constants and valid constants. */
2801 if (! CONSTANT_P (operands[1]) || input_operand (operands[1], mode))
2802 return false;
2804 /* Split large integers. */
2805 if (GET_CODE (operands[1]) == CONST_INT
2806 || GET_CODE (operands[1]) == CONST_DOUBLE)
2808 HOST_WIDE_INT i0, i1;
2809 rtx temp = NULL_RTX;
2811 if (GET_CODE (operands[1]) == CONST_INT)
2813 i0 = INTVAL (operands[1]);
2814 i1 = -(i0 < 0);
2816 else if (HOST_BITS_PER_WIDE_INT >= 64)
2818 i0 = CONST_DOUBLE_LOW (operands[1]);
2819 i1 = -(i0 < 0);
2821 else
2823 i0 = CONST_DOUBLE_LOW (operands[1]);
2824 i1 = CONST_DOUBLE_HIGH (operands[1]);
2827 if (HOST_BITS_PER_WIDE_INT >= 64 || i1 == -(i0 < 0))
2828 temp = alpha_emit_set_const (operands[0], mode, i0, 3);
2830 if (!temp && TARGET_BUILD_CONSTANTS)
2831 temp = alpha_emit_set_long_const (operands[0], i0, i1);
2833 if (temp)
2835 if (rtx_equal_p (operands[0], temp))
2836 return true;
2837 operands[1] = temp;
2838 return false;
2842 /* Otherwise we've nothing left but to drop the thing to memory. */
2843 operands[1] = force_const_mem (mode, operands[1]);
2844 if (reload_in_progress)
2846 emit_move_insn (operands[0], XEXP (operands[1], 0));
2847 operands[1] = copy_rtx (operands[1]);
2848 XEXP (operands[1], 0) = operands[0];
2850 else
2851 operands[1] = validize_mem (operands[1]);
2852 return false;
2855 /* Expand a non-bwx QImode or HImode move instruction;
2856 return true if all work is done. */
2858 bool
2859 alpha_expand_mov_nobwx (enum machine_mode mode, rtx *operands)
2861 /* If the output is not a register, the input must be. */
2862 if (GET_CODE (operands[0]) == MEM)
2863 operands[1] = force_reg (mode, operands[1]);
2865 /* Handle four memory cases, unaligned and aligned for either the input
2866 or the output. The only case where we can be called during reload is
2867 for aligned loads; all other cases require temporaries. */
2869 if (GET_CODE (operands[1]) == MEM
2870 || (GET_CODE (operands[1]) == SUBREG
2871 && GET_CODE (SUBREG_REG (operands[1])) == MEM)
2872 || (reload_in_progress && GET_CODE (operands[1]) == REG
2873 && REGNO (operands[1]) >= FIRST_PSEUDO_REGISTER)
2874 || (reload_in_progress && GET_CODE (operands[1]) == SUBREG
2875 && GET_CODE (SUBREG_REG (operands[1])) == REG
2876 && REGNO (SUBREG_REG (operands[1])) >= FIRST_PSEUDO_REGISTER))
2878 if (aligned_memory_operand (operands[1], mode))
2880 if (reload_in_progress)
2882 emit_insn ((mode == QImode
2883 ? gen_reload_inqi_help
2884 : gen_reload_inhi_help)
2885 (operands[0], operands[1],
2886 gen_rtx_REG (SImode, REGNO (operands[0]))));
2888 else
2890 rtx aligned_mem, bitnum;
2891 rtx scratch = gen_reg_rtx (SImode);
2893 get_aligned_mem (operands[1], &aligned_mem, &bitnum);
2895 emit_insn ((mode == QImode
2896 ? gen_aligned_loadqi
2897 : gen_aligned_loadhi)
2898 (operands[0], aligned_mem, bitnum, scratch));
2901 else
2903 /* Don't pass these as parameters since that makes the generated
2904 code depend on parameter evaluation order which will cause
2905 bootstrap failures. */
2907 rtx temp1 = gen_reg_rtx (DImode);
2908 rtx temp2 = gen_reg_rtx (DImode);
2909 rtx seq = ((mode == QImode
2910 ? gen_unaligned_loadqi
2911 : gen_unaligned_loadhi)
2912 (operands[0], get_unaligned_address (operands[1], 0),
2913 temp1, temp2));
2915 alpha_set_memflags (seq, operands[1]);
2916 emit_insn (seq);
2918 return true;
2921 if (GET_CODE (operands[0]) == MEM
2922 || (GET_CODE (operands[0]) == SUBREG
2923 && GET_CODE (SUBREG_REG (operands[0])) == MEM)
2924 || (reload_in_progress && GET_CODE (operands[0]) == REG
2925 && REGNO (operands[0]) >= FIRST_PSEUDO_REGISTER)
2926 || (reload_in_progress && GET_CODE (operands[0]) == SUBREG
2927 && GET_CODE (SUBREG_REG (operands[0])) == REG
2928 && REGNO (operands[0]) >= FIRST_PSEUDO_REGISTER))
2930 if (aligned_memory_operand (operands[0], mode))
2932 rtx aligned_mem, bitnum;
2933 rtx temp1 = gen_reg_rtx (SImode);
2934 rtx temp2 = gen_reg_rtx (SImode);
2936 get_aligned_mem (operands[0], &aligned_mem, &bitnum);
2938 emit_insn (gen_aligned_store (aligned_mem, operands[1], bitnum,
2939 temp1, temp2));
2941 else
2943 rtx temp1 = gen_reg_rtx (DImode);
2944 rtx temp2 = gen_reg_rtx (DImode);
2945 rtx temp3 = gen_reg_rtx (DImode);
2946 rtx seq = ((mode == QImode
2947 ? gen_unaligned_storeqi
2948 : gen_unaligned_storehi)
2949 (get_unaligned_address (operands[0], 0),
2950 operands[1], temp1, temp2, temp3));
2952 alpha_set_memflags (seq, operands[0]);
2953 emit_insn (seq);
2955 return true;
2958 return false;
2961 /* Generate an unsigned DImode to FP conversion. This is the same code
2962 optabs would emit if we didn't have TFmode patterns.
2964 For SFmode, this is the only construction I've found that can pass
2965 gcc.c-torture/execute/ieee/rbug.c. No scenario that uses DFmode
2966 intermediates will work, because you'll get intermediate rounding
2967 that ruins the end result. Some of this could be fixed by turning
2968 on round-to-positive-infinity, but that requires diddling the fpsr,
2969 which kills performance. I tried turning this around and converting
2970 to a negative number, so that I could turn on /m, but either I did
2971 it wrong or there's something else cause I wound up with the exact
2972 same single-bit error. There is a branch-less form of this same code:
2974 srl $16,1,$1
2975 and $16,1,$2
2976 cmplt $16,0,$3
2977 or $1,$2,$2
2978 cmovge $16,$16,$2
2979 itoft $3,$f10
2980 itoft $2,$f11
2981 cvtqs $f11,$f11
2982 adds $f11,$f11,$f0
2983 fcmoveq $f10,$f11,$f0
2985 I'm not using it because it's the same number of instructions as
2986 this branch-full form, and it has more serialized long latency
2987 instructions on the critical path.
2989 For DFmode, we can avoid rounding errors by breaking up the word
2990 into two pieces, converting them separately, and adding them back:
2992 LC0: .long 0,0x5f800000
2994 itoft $16,$f11
2995 lda $2,LC0
2996 cmplt $16,0,$1
2997 cpyse $f11,$f31,$f10
2998 cpyse $f31,$f11,$f11
2999 s4addq $1,$2,$1
3000 lds $f12,0($1)
3001 cvtqt $f10,$f10
3002 cvtqt $f11,$f11
3003 addt $f12,$f10,$f0
3004 addt $f0,$f11,$f0
3006 This doesn't seem to be a clear-cut win over the optabs form.
3007 It probably all depends on the distribution of numbers being
3008 converted -- in the optabs form, all but high-bit-set has a
3009 much lower minimum execution time. */
3011 void
3012 alpha_emit_floatuns (rtx operands[2])
3014 rtx neglab, donelab, i0, i1, f0, in, out;
3015 enum machine_mode mode;
3017 out = operands[0];
3018 in = force_reg (DImode, operands[1]);
3019 mode = GET_MODE (out);
3020 neglab = gen_label_rtx ();
3021 donelab = gen_label_rtx ();
3022 i0 = gen_reg_rtx (DImode);
3023 i1 = gen_reg_rtx (DImode);
3024 f0 = gen_reg_rtx (mode);
3026 emit_cmp_and_jump_insns (in, const0_rtx, LT, const0_rtx, DImode, 0, neglab);
3028 emit_insn (gen_rtx_SET (VOIDmode, out, gen_rtx_FLOAT (mode, in)));
3029 emit_jump_insn (gen_jump (donelab));
3030 emit_barrier ();
3032 emit_label (neglab);
3034 emit_insn (gen_lshrdi3 (i0, in, const1_rtx));
3035 emit_insn (gen_anddi3 (i1, in, const1_rtx));
3036 emit_insn (gen_iordi3 (i0, i0, i1));
3037 emit_insn (gen_rtx_SET (VOIDmode, f0, gen_rtx_FLOAT (mode, i0)));
3038 emit_insn (gen_rtx_SET (VOIDmode, out, gen_rtx_PLUS (mode, f0, f0)));
3040 emit_label (donelab);
3043 /* Generate the comparison for a conditional branch. */
3046 alpha_emit_conditional_branch (enum rtx_code code)
3048 enum rtx_code cmp_code, branch_code;
3049 enum machine_mode cmp_mode, branch_mode = VOIDmode;
3050 rtx op0 = alpha_compare.op0, op1 = alpha_compare.op1;
3051 rtx tem;
3053 if (alpha_compare.fp_p && GET_MODE (op0) == TFmode)
3055 if (! TARGET_HAS_XFLOATING_LIBS)
3056 abort ();
3058 /* X_floating library comparison functions return
3059 -1 unordered
3060 0 false
3061 1 true
3062 Convert the compare against the raw return value. */
3064 switch (code)
3066 case UNORDERED:
3067 cmp_code = EQ;
3068 code = LT;
3069 break;
3070 case ORDERED:
3071 cmp_code = EQ;
3072 code = GE;
3073 break;
3074 case NE:
3075 cmp_code = NE;
3076 code = NE;
3077 break;
3078 default:
3079 cmp_code = code;
3080 code = GT;
3081 break;
3084 op0 = alpha_emit_xfloating_compare (cmp_code, op0, op1);
3085 op1 = const0_rtx;
3086 alpha_compare.fp_p = 0;
3089 /* The general case: fold the comparison code to the types of compares
3090 that we have, choosing the branch as necessary. */
3091 switch (code)
3093 case EQ: case LE: case LT: case LEU: case LTU:
3094 case UNORDERED:
3095 /* We have these compares: */
3096 cmp_code = code, branch_code = NE;
3097 break;
3099 case NE:
3100 case ORDERED:
3101 /* These must be reversed. */
3102 cmp_code = reverse_condition (code), branch_code = EQ;
3103 break;
3105 case GE: case GT: case GEU: case GTU:
3106 /* For FP, we swap them, for INT, we reverse them. */
3107 if (alpha_compare.fp_p)
3109 cmp_code = swap_condition (code);
3110 branch_code = NE;
3111 tem = op0, op0 = op1, op1 = tem;
3113 else
3115 cmp_code = reverse_condition (code);
3116 branch_code = EQ;
3118 break;
3120 default:
3121 abort ();
3124 if (alpha_compare.fp_p)
3126 cmp_mode = DFmode;
3127 if (flag_unsafe_math_optimizations)
3129 /* When we are not as concerned about non-finite values, and we
3130 are comparing against zero, we can branch directly. */
3131 if (op1 == CONST0_RTX (DFmode))
3132 cmp_code = NIL, branch_code = code;
3133 else if (op0 == CONST0_RTX (DFmode))
3135 /* Undo the swap we probably did just above. */
3136 tem = op0, op0 = op1, op1 = tem;
3137 branch_code = swap_condition (cmp_code);
3138 cmp_code = NIL;
3141 else
3143 /* ??? We mark the branch mode to be CCmode to prevent the
3144 compare and branch from being combined, since the compare
3145 insn follows IEEE rules that the branch does not. */
3146 branch_mode = CCmode;
3149 else
3151 cmp_mode = DImode;
3153 /* The following optimizations are only for signed compares. */
3154 if (code != LEU && code != LTU && code != GEU && code != GTU)
3156 /* Whee. Compare and branch against 0 directly. */
3157 if (op1 == const0_rtx)
3158 cmp_code = NIL, branch_code = code;
3160 /* We want to use cmpcc/bcc when we can, since there is a zero delay
3161 bypass between logicals and br/cmov on EV5. But we don't want to
3162 force valid immediate constants into registers needlessly. */
3163 else if (GET_CODE (op1) == CONST_INT)
3165 HOST_WIDE_INT v = INTVAL (op1), n = -v;
3167 if (! CONST_OK_FOR_LETTER_P (v, 'I')
3168 && (CONST_OK_FOR_LETTER_P (n, 'K')
3169 || CONST_OK_FOR_LETTER_P (n, 'L')))
3171 cmp_code = PLUS, branch_code = code;
3172 op1 = GEN_INT (n);
3177 if (!reg_or_0_operand (op0, DImode))
3178 op0 = force_reg (DImode, op0);
3179 if (cmp_code != PLUS && !reg_or_8bit_operand (op1, DImode))
3180 op1 = force_reg (DImode, op1);
3183 /* Emit an initial compare instruction, if necessary. */
3184 tem = op0;
3185 if (cmp_code != NIL)
3187 tem = gen_reg_rtx (cmp_mode);
3188 emit_move_insn (tem, gen_rtx_fmt_ee (cmp_code, cmp_mode, op0, op1));
3191 /* Zero the operands. */
3192 memset (&alpha_compare, 0, sizeof (alpha_compare));
3194 /* Return the branch comparison. */
3195 return gen_rtx_fmt_ee (branch_code, branch_mode, tem, CONST0_RTX (cmp_mode));
3198 /* Certain simplifications can be done to make invalid setcc operations
3199 valid. Return the final comparison, or NULL if we can't work. */
3202 alpha_emit_setcc (enum rtx_code code)
3204 enum rtx_code cmp_code;
3205 rtx op0 = alpha_compare.op0, op1 = alpha_compare.op1;
3206 int fp_p = alpha_compare.fp_p;
3207 rtx tmp;
3209 /* Zero the operands. */
3210 memset (&alpha_compare, 0, sizeof (alpha_compare));
3212 if (fp_p && GET_MODE (op0) == TFmode)
3214 if (! TARGET_HAS_XFLOATING_LIBS)
3215 abort ();
3217 /* X_floating library comparison functions return
3218 -1 unordered
3219 0 false
3220 1 true
3221 Convert the compare against the raw return value. */
3223 if (code == UNORDERED || code == ORDERED)
3224 cmp_code = EQ;
3225 else
3226 cmp_code = code;
3228 op0 = alpha_emit_xfloating_compare (cmp_code, op0, op1);
3229 op1 = const0_rtx;
3230 fp_p = 0;
3232 if (code == UNORDERED)
3233 code = LT;
3234 else if (code == ORDERED)
3235 code = GE;
3236 else
3237 code = GT;
3240 if (fp_p && !TARGET_FIX)
3241 return NULL_RTX;
3243 /* The general case: fold the comparison code to the types of compares
3244 that we have, choosing the branch as necessary. */
3246 cmp_code = NIL;
3247 switch (code)
3249 case EQ: case LE: case LT: case LEU: case LTU:
3250 case UNORDERED:
3251 /* We have these compares. */
3252 if (fp_p)
3253 cmp_code = code, code = NE;
3254 break;
3256 case NE:
3257 if (!fp_p && op1 == const0_rtx)
3258 break;
3259 /* FALLTHRU */
3261 case ORDERED:
3262 cmp_code = reverse_condition (code);
3263 code = EQ;
3264 break;
3266 case GE: case GT: case GEU: case GTU:
3267 /* These normally need swapping, but for integer zero we have
3268 special patterns that recognize swapped operands. */
3269 if (!fp_p && op1 == const0_rtx)
3270 break;
3271 code = swap_condition (code);
3272 if (fp_p)
3273 cmp_code = code, code = NE;
3274 tmp = op0, op0 = op1, op1 = tmp;
3275 break;
3277 default:
3278 abort ();
3281 if (!fp_p)
3283 if (!register_operand (op0, DImode))
3284 op0 = force_reg (DImode, op0);
3285 if (!reg_or_8bit_operand (op1, DImode))
3286 op1 = force_reg (DImode, op1);
3289 /* Emit an initial compare instruction, if necessary. */
3290 if (cmp_code != NIL)
3292 enum machine_mode mode = fp_p ? DFmode : DImode;
3294 tmp = gen_reg_rtx (mode);
3295 emit_insn (gen_rtx_SET (VOIDmode, tmp,
3296 gen_rtx_fmt_ee (cmp_code, mode, op0, op1)));
3298 op0 = fp_p ? gen_lowpart (DImode, tmp) : tmp;
3299 op1 = const0_rtx;
3302 /* Return the setcc comparison. */
3303 return gen_rtx_fmt_ee (code, DImode, op0, op1);
3307 /* Rewrite a comparison against zero CMP of the form
3308 (CODE (cc0) (const_int 0)) so it can be written validly in
3309 a conditional move (if_then_else CMP ...).
3310 If both of the operands that set cc0 are nonzero we must emit
3311 an insn to perform the compare (it can't be done within
3312 the conditional move). */
3315 alpha_emit_conditional_move (rtx cmp, enum machine_mode mode)
3317 enum rtx_code code = GET_CODE (cmp);
3318 enum rtx_code cmov_code = NE;
3319 rtx op0 = alpha_compare.op0;
3320 rtx op1 = alpha_compare.op1;
3321 int fp_p = alpha_compare.fp_p;
3322 enum machine_mode cmp_mode
3323 = (GET_MODE (op0) == VOIDmode ? DImode : GET_MODE (op0));
3324 enum machine_mode cmp_op_mode = fp_p ? DFmode : DImode;
3325 enum machine_mode cmov_mode = VOIDmode;
3326 int local_fast_math = flag_unsafe_math_optimizations;
3327 rtx tem;
3329 /* Zero the operands. */
3330 memset (&alpha_compare, 0, sizeof (alpha_compare));
3332 if (fp_p != FLOAT_MODE_P (mode))
3334 enum rtx_code cmp_code;
3336 if (! TARGET_FIX)
3337 return 0;
3339 /* If we have fp<->int register move instructions, do a cmov by
3340 performing the comparison in fp registers, and move the
3341 zero/nonzero value to integer registers, where we can then
3342 use a normal cmov, or vice-versa. */
3344 switch (code)
3346 case EQ: case LE: case LT: case LEU: case LTU:
3347 /* We have these compares. */
3348 cmp_code = code, code = NE;
3349 break;
3351 case NE:
3352 /* This must be reversed. */
3353 cmp_code = EQ, code = EQ;
3354 break;
3356 case GE: case GT: case GEU: case GTU:
3357 /* These normally need swapping, but for integer zero we have
3358 special patterns that recognize swapped operands. */
3359 if (!fp_p && op1 == const0_rtx)
3360 cmp_code = code, code = NE;
3361 else
3363 cmp_code = swap_condition (code);
3364 code = NE;
3365 tem = op0, op0 = op1, op1 = tem;
3367 break;
3369 default:
3370 abort ();
3373 tem = gen_reg_rtx (cmp_op_mode);
3374 emit_insn (gen_rtx_SET (VOIDmode, tem,
3375 gen_rtx_fmt_ee (cmp_code, cmp_op_mode,
3376 op0, op1)));
3378 cmp_mode = cmp_op_mode = fp_p ? DImode : DFmode;
3379 op0 = gen_lowpart (cmp_op_mode, tem);
3380 op1 = CONST0_RTX (cmp_op_mode);
3381 fp_p = !fp_p;
3382 local_fast_math = 1;
3385 /* We may be able to use a conditional move directly.
3386 This avoids emitting spurious compares. */
3387 if (signed_comparison_operator (cmp, VOIDmode)
3388 && (!fp_p || local_fast_math)
3389 && (op0 == CONST0_RTX (cmp_mode) || op1 == CONST0_RTX (cmp_mode)))
3390 return gen_rtx_fmt_ee (code, VOIDmode, op0, op1);
3392 /* We can't put the comparison inside the conditional move;
3393 emit a compare instruction and put that inside the
3394 conditional move. Make sure we emit only comparisons we have;
3395 swap or reverse as necessary. */
3397 if (no_new_pseudos)
3398 return NULL_RTX;
3400 switch (code)
3402 case EQ: case LE: case LT: case LEU: case LTU:
3403 /* We have these compares: */
3404 break;
3406 case NE:
3407 /* This must be reversed. */
3408 code = reverse_condition (code);
3409 cmov_code = EQ;
3410 break;
3412 case GE: case GT: case GEU: case GTU:
3413 /* These must be swapped. */
3414 if (op1 != CONST0_RTX (cmp_mode))
3416 code = swap_condition (code);
3417 tem = op0, op0 = op1, op1 = tem;
3419 break;
3421 default:
3422 abort ();
3425 if (!fp_p)
3427 if (!reg_or_0_operand (op0, DImode))
3428 op0 = force_reg (DImode, op0);
3429 if (!reg_or_8bit_operand (op1, DImode))
3430 op1 = force_reg (DImode, op1);
3433 /* ??? We mark the branch mode to be CCmode to prevent the compare
3434 and cmov from being combined, since the compare insn follows IEEE
3435 rules that the cmov does not. */
3436 if (fp_p && !local_fast_math)
3437 cmov_mode = CCmode;
3439 tem = gen_reg_rtx (cmp_op_mode);
3440 emit_move_insn (tem, gen_rtx_fmt_ee (code, cmp_op_mode, op0, op1));
3441 return gen_rtx_fmt_ee (cmov_code, cmov_mode, tem, CONST0_RTX (cmp_op_mode));
3444 /* Simplify a conditional move of two constants into a setcc with
3445 arithmetic. This is done with a splitter since combine would
3446 just undo the work if done during code generation. It also catches
3447 cases we wouldn't have before cse. */
3450 alpha_split_conditional_move (enum rtx_code code, rtx dest, rtx cond,
3451 rtx t_rtx, rtx f_rtx)
3453 HOST_WIDE_INT t, f, diff;
3454 enum machine_mode mode;
3455 rtx target, subtarget, tmp;
3457 mode = GET_MODE (dest);
3458 t = INTVAL (t_rtx);
3459 f = INTVAL (f_rtx);
3460 diff = t - f;
3462 if (((code == NE || code == EQ) && diff < 0)
3463 || (code == GE || code == GT))
3465 code = reverse_condition (code);
3466 diff = t, t = f, f = diff;
3467 diff = t - f;
3470 subtarget = target = dest;
3471 if (mode != DImode)
3473 target = gen_lowpart (DImode, dest);
3474 if (! no_new_pseudos)
3475 subtarget = gen_reg_rtx (DImode);
3476 else
3477 subtarget = target;
3479 /* Below, we must be careful to use copy_rtx on target and subtarget
3480 in intermediate insns, as they may be a subreg rtx, which may not
3481 be shared. */
3483 if (f == 0 && exact_log2 (diff) > 0
3484 /* On EV6, we've got enough shifters to make non-arithmetic shifts
3485 viable over a longer latency cmove. On EV5, the E0 slot is a
3486 scarce resource, and on EV4 shift has the same latency as a cmove. */
3487 && (diff <= 8 || alpha_cpu == PROCESSOR_EV6))
3489 tmp = gen_rtx_fmt_ee (code, DImode, cond, const0_rtx);
3490 emit_insn (gen_rtx_SET (VOIDmode, copy_rtx (subtarget), tmp));
3492 tmp = gen_rtx_ASHIFT (DImode, copy_rtx (subtarget),
3493 GEN_INT (exact_log2 (t)));
3494 emit_insn (gen_rtx_SET (VOIDmode, target, tmp));
3496 else if (f == 0 && t == -1)
3498 tmp = gen_rtx_fmt_ee (code, DImode, cond, const0_rtx);
3499 emit_insn (gen_rtx_SET (VOIDmode, copy_rtx (subtarget), tmp));
3501 emit_insn (gen_negdi2 (target, copy_rtx (subtarget)));
3503 else if (diff == 1 || diff == 4 || diff == 8)
3505 rtx add_op;
3507 tmp = gen_rtx_fmt_ee (code, DImode, cond, const0_rtx);
3508 emit_insn (gen_rtx_SET (VOIDmode, copy_rtx (subtarget), tmp));
3510 if (diff == 1)
3511 emit_insn (gen_adddi3 (target, copy_rtx (subtarget), GEN_INT (f)));
3512 else
3514 add_op = GEN_INT (f);
3515 if (sext_add_operand (add_op, mode))
3517 tmp = gen_rtx_MULT (DImode, copy_rtx (subtarget),
3518 GEN_INT (diff));
3519 tmp = gen_rtx_PLUS (DImode, tmp, add_op);
3520 emit_insn (gen_rtx_SET (VOIDmode, target, tmp));
3522 else
3523 return 0;
3526 else
3527 return 0;
3529 return 1;
3532 /* Look up the function X_floating library function name for the
3533 given operation. */
3535 static const char *
3536 alpha_lookup_xfloating_lib_func (enum rtx_code code)
3538 struct xfloating_op
3540 const enum rtx_code code;
3541 const char *const func;
3544 static const struct xfloating_op vms_xfloating_ops[] =
3546 { PLUS, "OTS$ADD_X" },
3547 { MINUS, "OTS$SUB_X" },
3548 { MULT, "OTS$MUL_X" },
3549 { DIV, "OTS$DIV_X" },
3550 { EQ, "OTS$EQL_X" },
3551 { NE, "OTS$NEQ_X" },
3552 { LT, "OTS$LSS_X" },
3553 { LE, "OTS$LEQ_X" },
3554 { GT, "OTS$GTR_X" },
3555 { GE, "OTS$GEQ_X" },
3556 { FIX, "OTS$CVTXQ" },
3557 { FLOAT, "OTS$CVTQX" },
3558 { UNSIGNED_FLOAT, "OTS$CVTQUX" },
3559 { FLOAT_EXTEND, "OTS$CVT_FLOAT_T_X" },
3560 { FLOAT_TRUNCATE, "OTS$CVT_FLOAT_X_T" },
3563 static const struct xfloating_op osf_xfloating_ops[] =
3565 { PLUS, "_OtsAddX" },
3566 { MINUS, "_OtsSubX" },
3567 { MULT, "_OtsMulX" },
3568 { DIV, "_OtsDivX" },
3569 { EQ, "_OtsEqlX" },
3570 { NE, "_OtsNeqX" },
3571 { LT, "_OtsLssX" },
3572 { LE, "_OtsLeqX" },
3573 { GT, "_OtsGtrX" },
3574 { GE, "_OtsGeqX" },
3575 { FIX, "_OtsCvtXQ" },
3576 { FLOAT, "_OtsCvtQX" },
3577 { UNSIGNED_FLOAT, "_OtsCvtQUX" },
3578 { FLOAT_EXTEND, "_OtsConvertFloatTX" },
3579 { FLOAT_TRUNCATE, "_OtsConvertFloatXT" },
3582 const struct xfloating_op *ops;
3583 const long n = ARRAY_SIZE (osf_xfloating_ops);
3584 long i;
3586 /* How irritating. Nothing to key off for the table. Hardcode
3587 knowledge of the G_floating routines. */
3588 if (TARGET_FLOAT_VAX)
3590 if (TARGET_ABI_OPEN_VMS)
3592 if (code == FLOAT_EXTEND)
3593 return "OTS$CVT_FLOAT_G_X";
3594 if (code == FLOAT_TRUNCATE)
3595 return "OTS$CVT_FLOAT_X_G";
3597 else
3599 if (code == FLOAT_EXTEND)
3600 return "_OtsConvertFloatGX";
3601 if (code == FLOAT_TRUNCATE)
3602 return "_OtsConvertFloatXG";
3606 if (TARGET_ABI_OPEN_VMS)
3607 ops = vms_xfloating_ops;
3608 else
3609 ops = osf_xfloating_ops;
3611 for (i = 0; i < n; ++i)
3612 if (ops[i].code == code)
3613 return ops[i].func;
3615 abort();
3618 /* Most X_floating operations take the rounding mode as an argument.
3619 Compute that here. */
3621 static int
3622 alpha_compute_xfloating_mode_arg (enum rtx_code code,
3623 enum alpha_fp_rounding_mode round)
3625 int mode;
3627 switch (round)
3629 case ALPHA_FPRM_NORM:
3630 mode = 2;
3631 break;
3632 case ALPHA_FPRM_MINF:
3633 mode = 1;
3634 break;
3635 case ALPHA_FPRM_CHOP:
3636 mode = 0;
3637 break;
3638 case ALPHA_FPRM_DYN:
3639 mode = 4;
3640 break;
3641 default:
3642 abort ();
3644 /* XXX For reference, round to +inf is mode = 3. */
3647 if (code == FLOAT_TRUNCATE && alpha_fptm == ALPHA_FPTM_N)
3648 mode |= 0x10000;
3650 return mode;
3653 /* Emit an X_floating library function call.
3655 Note that these functions do not follow normal calling conventions:
3656 TFmode arguments are passed in two integer registers (as opposed to
3657 indirect); TFmode return values appear in R16+R17.
3659 FUNC is the function name to call.
3660 TARGET is where the output belongs.
3661 OPERANDS are the inputs.
3662 NOPERANDS is the count of inputs.
3663 EQUIV is the expression equivalent for the function.
3666 static void
3667 alpha_emit_xfloating_libcall (const char *func, rtx target, rtx operands[],
3668 int noperands, rtx equiv)
3670 rtx usage = NULL_RTX, tmp, reg;
3671 int regno = 16, i;
3673 start_sequence ();
3675 for (i = 0; i < noperands; ++i)
3677 switch (GET_MODE (operands[i]))
3679 case TFmode:
3680 reg = gen_rtx_REG (TFmode, regno);
3681 regno += 2;
3682 break;
3684 case DFmode:
3685 reg = gen_rtx_REG (DFmode, regno + 32);
3686 regno += 1;
3687 break;
3689 case VOIDmode:
3690 if (GET_CODE (operands[i]) != CONST_INT)
3691 abort ();
3692 /* FALLTHRU */
3693 case DImode:
3694 reg = gen_rtx_REG (DImode, regno);
3695 regno += 1;
3696 break;
3698 default:
3699 abort ();
3702 emit_move_insn (reg, operands[i]);
3703 usage = alloc_EXPR_LIST (0, gen_rtx_USE (VOIDmode, reg), usage);
3706 switch (GET_MODE (target))
3708 case TFmode:
3709 reg = gen_rtx_REG (TFmode, 16);
3710 break;
3711 case DFmode:
3712 reg = gen_rtx_REG (DFmode, 32);
3713 break;
3714 case DImode:
3715 reg = gen_rtx_REG (DImode, 0);
3716 break;
3717 default:
3718 abort ();
3721 tmp = gen_rtx_MEM (QImode, init_one_libfunc (func));
3722 tmp = emit_call_insn (GEN_CALL_VALUE (reg, tmp, const0_rtx,
3723 const0_rtx, const0_rtx));
3724 CALL_INSN_FUNCTION_USAGE (tmp) = usage;
3726 tmp = get_insns ();
3727 end_sequence ();
3729 emit_libcall_block (tmp, target, reg, equiv);
3732 /* Emit an X_floating library function call for arithmetic (+,-,*,/). */
3734 void
3735 alpha_emit_xfloating_arith (enum rtx_code code, rtx operands[])
3737 const char *func;
3738 int mode;
3739 rtx out_operands[3];
3741 func = alpha_lookup_xfloating_lib_func (code);
3742 mode = alpha_compute_xfloating_mode_arg (code, alpha_fprm);
3744 out_operands[0] = operands[1];
3745 out_operands[1] = operands[2];
3746 out_operands[2] = GEN_INT (mode);
3747 alpha_emit_xfloating_libcall (func, operands[0], out_operands, 3,
3748 gen_rtx_fmt_ee (code, TFmode, operands[1],
3749 operands[2]));
3752 /* Emit an X_floating library function call for a comparison. */
3754 static rtx
3755 alpha_emit_xfloating_compare (enum rtx_code code, rtx op0, rtx op1)
3757 const char *func;
3758 rtx out, operands[2];
3760 func = alpha_lookup_xfloating_lib_func (code);
3762 operands[0] = op0;
3763 operands[1] = op1;
3764 out = gen_reg_rtx (DImode);
3766 /* ??? Strange mode for equiv because what's actually returned
3767 is -1,0,1, not a proper boolean value. */
3768 alpha_emit_xfloating_libcall (func, out, operands, 2,
3769 gen_rtx_fmt_ee (code, CCmode, op0, op1));
3771 return out;
3774 /* Emit an X_floating library function call for a conversion. */
3776 void
3777 alpha_emit_xfloating_cvt (enum rtx_code code, rtx operands[])
3779 int noperands = 1, mode;
3780 rtx out_operands[2];
3781 const char *func;
3783 func = alpha_lookup_xfloating_lib_func (code);
3785 out_operands[0] = operands[1];
3787 switch (code)
3789 case FIX:
3790 mode = alpha_compute_xfloating_mode_arg (code, ALPHA_FPRM_CHOP);
3791 out_operands[1] = GEN_INT (mode);
3792 noperands = 2;
3793 break;
3794 case FLOAT_TRUNCATE:
3795 mode = alpha_compute_xfloating_mode_arg (code, alpha_fprm);
3796 out_operands[1] = GEN_INT (mode);
3797 noperands = 2;
3798 break;
3799 default:
3800 break;
3803 alpha_emit_xfloating_libcall (func, operands[0], out_operands, noperands,
3804 gen_rtx_fmt_e (code, GET_MODE (operands[0]),
3805 operands[1]));
3808 /* Split a TFmode OP[1] into DImode OP[2,3] and likewise for
3809 OP[0] into OP[0,1]. Naturally, output operand ordering is
3810 little-endian. */
3812 void
3813 alpha_split_tfmode_pair (rtx operands[4])
3815 if (GET_CODE (operands[1]) == REG)
3817 operands[3] = gen_rtx_REG (DImode, REGNO (operands[1]) + 1);
3818 operands[2] = gen_rtx_REG (DImode, REGNO (operands[1]));
3820 else if (GET_CODE (operands[1]) == MEM)
3822 operands[3] = adjust_address (operands[1], DImode, 8);
3823 operands[2] = adjust_address (operands[1], DImode, 0);
3825 else if (operands[1] == CONST0_RTX (TFmode))
3826 operands[2] = operands[3] = const0_rtx;
3827 else
3828 abort ();
3830 if (GET_CODE (operands[0]) == REG)
3832 operands[1] = gen_rtx_REG (DImode, REGNO (operands[0]) + 1);
3833 operands[0] = gen_rtx_REG (DImode, REGNO (operands[0]));
3835 else if (GET_CODE (operands[0]) == MEM)
3837 operands[1] = adjust_address (operands[0], DImode, 8);
3838 operands[0] = adjust_address (operands[0], DImode, 0);
3840 else
3841 abort ();
3844 /* Implement negtf2 or abstf2. Op0 is destination, op1 is source,
3845 op2 is a register containing the sign bit, operation is the
3846 logical operation to be performed. */
3848 void
3849 alpha_split_tfmode_frobsign (rtx operands[3], rtx (*operation) (rtx, rtx, rtx))
3851 rtx high_bit = operands[2];
3852 rtx scratch;
3853 int move;
3855 alpha_split_tfmode_pair (operands);
3857 /* Detect three flavors of operand overlap. */
3858 move = 1;
3859 if (rtx_equal_p (operands[0], operands[2]))
3860 move = 0;
3861 else if (rtx_equal_p (operands[1], operands[2]))
3863 if (rtx_equal_p (operands[0], high_bit))
3864 move = 2;
3865 else
3866 move = -1;
3869 if (move < 0)
3870 emit_move_insn (operands[0], operands[2]);
3872 /* ??? If the destination overlaps both source tf and high_bit, then
3873 assume source tf is dead in its entirety and use the other half
3874 for a scratch register. Otherwise "scratch" is just the proper
3875 destination register. */
3876 scratch = operands[move < 2 ? 1 : 3];
3878 emit_insn ((*operation) (scratch, high_bit, operands[3]));
3880 if (move > 0)
3882 emit_move_insn (operands[0], operands[2]);
3883 if (move > 1)
3884 emit_move_insn (operands[1], scratch);
3888 /* Use ext[wlq][lh] as the Architecture Handbook describes for extracting
3889 unaligned data:
3891 unsigned: signed:
3892 word: ldq_u r1,X(r11) ldq_u r1,X(r11)
3893 ldq_u r2,X+1(r11) ldq_u r2,X+1(r11)
3894 lda r3,X(r11) lda r3,X+2(r11)
3895 extwl r1,r3,r1 extql r1,r3,r1
3896 extwh r2,r3,r2 extqh r2,r3,r2
3897 or r1.r2.r1 or r1,r2,r1
3898 sra r1,48,r1
3900 long: ldq_u r1,X(r11) ldq_u r1,X(r11)
3901 ldq_u r2,X+3(r11) ldq_u r2,X+3(r11)
3902 lda r3,X(r11) lda r3,X(r11)
3903 extll r1,r3,r1 extll r1,r3,r1
3904 extlh r2,r3,r2 extlh r2,r3,r2
3905 or r1.r2.r1 addl r1,r2,r1
3907 quad: ldq_u r1,X(r11)
3908 ldq_u r2,X+7(r11)
3909 lda r3,X(r11)
3910 extql r1,r3,r1
3911 extqh r2,r3,r2
3912 or r1.r2.r1
3915 void
3916 alpha_expand_unaligned_load (rtx tgt, rtx mem, HOST_WIDE_INT size,
3917 HOST_WIDE_INT ofs, int sign)
3919 rtx meml, memh, addr, extl, exth, tmp, mema;
3920 enum machine_mode mode;
3922 meml = gen_reg_rtx (DImode);
3923 memh = gen_reg_rtx (DImode);
3924 addr = gen_reg_rtx (DImode);
3925 extl = gen_reg_rtx (DImode);
3926 exth = gen_reg_rtx (DImode);
3928 mema = XEXP (mem, 0);
3929 if (GET_CODE (mema) == LO_SUM)
3930 mema = force_reg (Pmode, mema);
3932 /* AND addresses cannot be in any alias set, since they may implicitly
3933 alias surrounding code. Ideally we'd have some alias set that
3934 covered all types except those with alignment 8 or higher. */
3936 tmp = change_address (mem, DImode,
3937 gen_rtx_AND (DImode,
3938 plus_constant (mema, ofs),
3939 GEN_INT (-8)));
3940 set_mem_alias_set (tmp, 0);
3941 emit_move_insn (meml, tmp);
3943 tmp = change_address (mem, DImode,
3944 gen_rtx_AND (DImode,
3945 plus_constant (mema, ofs + size - 1),
3946 GEN_INT (-8)));
3947 set_mem_alias_set (tmp, 0);
3948 emit_move_insn (memh, tmp);
3950 if (WORDS_BIG_ENDIAN && sign && (size == 2 || size == 4))
3952 emit_move_insn (addr, plus_constant (mema, -1));
3954 emit_insn (gen_extqh_be (extl, meml, addr));
3955 emit_insn (gen_extxl_be (exth, memh, GEN_INT (64), addr));
3957 addr = expand_binop (DImode, ior_optab, extl, exth, tgt, 1, OPTAB_WIDEN);
3958 addr = expand_binop (DImode, ashr_optab, addr, GEN_INT (64 - size*8),
3959 addr, 1, OPTAB_WIDEN);
3961 else if (sign && size == 2)
3963 emit_move_insn (addr, plus_constant (mema, ofs+2));
3965 emit_insn (gen_extxl_le (extl, meml, GEN_INT (64), addr));
3966 emit_insn (gen_extqh_le (exth, memh, addr));
3968 /* We must use tgt here for the target. Alpha-vms port fails if we use
3969 addr for the target, because addr is marked as a pointer and combine
3970 knows that pointers are always sign-extended 32 bit values. */
3971 addr = expand_binop (DImode, ior_optab, extl, exth, tgt, 1, OPTAB_WIDEN);
3972 addr = expand_binop (DImode, ashr_optab, addr, GEN_INT (48),
3973 addr, 1, OPTAB_WIDEN);
3975 else
3977 if (WORDS_BIG_ENDIAN)
3979 emit_move_insn (addr, plus_constant (mema, ofs+size-1));
3980 switch ((int) size)
3982 case 2:
3983 emit_insn (gen_extwh_be (extl, meml, addr));
3984 mode = HImode;
3985 break;
3987 case 4:
3988 emit_insn (gen_extlh_be (extl, meml, addr));
3989 mode = SImode;
3990 break;
3992 case 8:
3993 emit_insn (gen_extqh_be (extl, meml, addr));
3994 mode = DImode;
3995 break;
3997 default:
3998 abort ();
4000 emit_insn (gen_extxl_be (exth, memh, GEN_INT (size*8), addr));
4002 else
4004 emit_move_insn (addr, plus_constant (mema, ofs));
4005 emit_insn (gen_extxl_le (extl, meml, GEN_INT (size*8), addr));
4006 switch ((int) size)
4008 case 2:
4009 emit_insn (gen_extwh_le (exth, memh, addr));
4010 mode = HImode;
4011 break;
4013 case 4:
4014 emit_insn (gen_extlh_le (exth, memh, addr));
4015 mode = SImode;
4016 break;
4018 case 8:
4019 emit_insn (gen_extqh_le (exth, memh, addr));
4020 mode = DImode;
4021 break;
4023 default:
4024 abort();
4028 addr = expand_binop (mode, ior_optab, gen_lowpart (mode, extl),
4029 gen_lowpart (mode, exth), gen_lowpart (mode, tgt),
4030 sign, OPTAB_WIDEN);
4033 if (addr != tgt)
4034 emit_move_insn (tgt, gen_lowpart(GET_MODE (tgt), addr));
4037 /* Similarly, use ins and msk instructions to perform unaligned stores. */
4039 void
4040 alpha_expand_unaligned_store (rtx dst, rtx src,
4041 HOST_WIDE_INT size, HOST_WIDE_INT ofs)
4043 rtx dstl, dsth, addr, insl, insh, meml, memh, dsta;
4045 dstl = gen_reg_rtx (DImode);
4046 dsth = gen_reg_rtx (DImode);
4047 insl = gen_reg_rtx (DImode);
4048 insh = gen_reg_rtx (DImode);
4050 dsta = XEXP (dst, 0);
4051 if (GET_CODE (dsta) == LO_SUM)
4052 dsta = force_reg (Pmode, dsta);
4054 /* AND addresses cannot be in any alias set, since they may implicitly
4055 alias surrounding code. Ideally we'd have some alias set that
4056 covered all types except those with alignment 8 or higher. */
4058 meml = change_address (dst, DImode,
4059 gen_rtx_AND (DImode,
4060 plus_constant (dsta, ofs),
4061 GEN_INT (-8)));
4062 set_mem_alias_set (meml, 0);
4064 memh = change_address (dst, DImode,
4065 gen_rtx_AND (DImode,
4066 plus_constant (dsta, ofs + size - 1),
4067 GEN_INT (-8)));
4068 set_mem_alias_set (memh, 0);
4070 emit_move_insn (dsth, memh);
4071 emit_move_insn (dstl, meml);
4072 if (WORDS_BIG_ENDIAN)
4074 addr = copy_addr_to_reg (plus_constant (dsta, ofs+size-1));
4076 if (src != const0_rtx)
4078 switch ((int) size)
4080 case 2:
4081 emit_insn (gen_inswl_be (insh, gen_lowpart (HImode,src), addr));
4082 break;
4083 case 4:
4084 emit_insn (gen_insll_be (insh, gen_lowpart (SImode,src), addr));
4085 break;
4086 case 8:
4087 emit_insn (gen_insql_be (insh, gen_lowpart (DImode,src), addr));
4088 break;
4090 emit_insn (gen_insxh (insl, gen_lowpart (DImode, src),
4091 GEN_INT (size*8), addr));
4094 switch ((int) size)
4096 case 2:
4097 emit_insn (gen_mskxl_be (dsth, dsth, GEN_INT (0xffff), addr));
4098 break;
4099 case 4:
4101 rtx msk = immed_double_const (0xffffffff, 0, DImode);
4102 emit_insn (gen_mskxl_be (dsth, dsth, msk, addr));
4103 break;
4105 case 8:
4106 emit_insn (gen_mskxl_be (dsth, dsth, constm1_rtx, addr));
4107 break;
4110 emit_insn (gen_mskxh (dstl, dstl, GEN_INT (size*8), addr));
4112 else
4114 addr = copy_addr_to_reg (plus_constant (dsta, ofs));
4116 if (src != const0_rtx)
4118 emit_insn (gen_insxh (insh, gen_lowpart (DImode, src),
4119 GEN_INT (size*8), addr));
4121 switch ((int) size)
4123 case 2:
4124 emit_insn (gen_inswl_le (insl, gen_lowpart (HImode, src), addr));
4125 break;
4126 case 4:
4127 emit_insn (gen_insll_le (insl, gen_lowpart (SImode, src), addr));
4128 break;
4129 case 8:
4130 emit_insn (gen_insql_le (insl, src, addr));
4131 break;
4135 emit_insn (gen_mskxh (dsth, dsth, GEN_INT (size*8), addr));
4137 switch ((int) size)
4139 case 2:
4140 emit_insn (gen_mskxl_le (dstl, dstl, GEN_INT (0xffff), addr));
4141 break;
4142 case 4:
4144 rtx msk = immed_double_const (0xffffffff, 0, DImode);
4145 emit_insn (gen_mskxl_le (dstl, dstl, msk, addr));
4146 break;
4148 case 8:
4149 emit_insn (gen_mskxl_le (dstl, dstl, constm1_rtx, addr));
4150 break;
4154 if (src != const0_rtx)
4156 dsth = expand_binop (DImode, ior_optab, insh, dsth, dsth, 0, OPTAB_WIDEN);
4157 dstl = expand_binop (DImode, ior_optab, insl, dstl, dstl, 0, OPTAB_WIDEN);
4160 if (WORDS_BIG_ENDIAN)
4162 emit_move_insn (meml, dstl);
4163 emit_move_insn (memh, dsth);
4165 else
4167 /* Must store high before low for degenerate case of aligned. */
4168 emit_move_insn (memh, dsth);
4169 emit_move_insn (meml, dstl);
4173 /* The block move code tries to maximize speed by separating loads and
4174 stores at the expense of register pressure: we load all of the data
4175 before we store it back out. There are two secondary effects worth
4176 mentioning, that this speeds copying to/from aligned and unaligned
4177 buffers, and that it makes the code significantly easier to write. */
4179 #define MAX_MOVE_WORDS 8
4181 /* Load an integral number of consecutive unaligned quadwords. */
4183 static void
4184 alpha_expand_unaligned_load_words (rtx *out_regs, rtx smem,
4185 HOST_WIDE_INT words, HOST_WIDE_INT ofs)
4187 rtx const im8 = GEN_INT (-8);
4188 rtx const i64 = GEN_INT (64);
4189 rtx ext_tmps[MAX_MOVE_WORDS], data_regs[MAX_MOVE_WORDS+1];
4190 rtx sreg, areg, tmp, smema;
4191 HOST_WIDE_INT i;
4193 smema = XEXP (smem, 0);
4194 if (GET_CODE (smema) == LO_SUM)
4195 smema = force_reg (Pmode, smema);
4197 /* Generate all the tmp registers we need. */
4198 for (i = 0; i < words; ++i)
4200 data_regs[i] = out_regs[i];
4201 ext_tmps[i] = gen_reg_rtx (DImode);
4203 data_regs[words] = gen_reg_rtx (DImode);
4205 if (ofs != 0)
4206 smem = adjust_address (smem, GET_MODE (smem), ofs);
4208 /* Load up all of the source data. */
4209 for (i = 0; i < words; ++i)
4211 tmp = change_address (smem, DImode,
4212 gen_rtx_AND (DImode,
4213 plus_constant (smema, 8*i),
4214 im8));
4215 set_mem_alias_set (tmp, 0);
4216 emit_move_insn (data_regs[i], tmp);
4219 tmp = change_address (smem, DImode,
4220 gen_rtx_AND (DImode,
4221 plus_constant (smema, 8*words - 1),
4222 im8));
4223 set_mem_alias_set (tmp, 0);
4224 emit_move_insn (data_regs[words], tmp);
4226 /* Extract the half-word fragments. Unfortunately DEC decided to make
4227 extxh with offset zero a noop instead of zeroing the register, so
4228 we must take care of that edge condition ourselves with cmov. */
4230 sreg = copy_addr_to_reg (smema);
4231 areg = expand_binop (DImode, and_optab, sreg, GEN_INT (7), NULL,
4232 1, OPTAB_WIDEN);
4233 if (WORDS_BIG_ENDIAN)
4234 emit_move_insn (sreg, plus_constant (sreg, 7));
4235 for (i = 0; i < words; ++i)
4237 if (WORDS_BIG_ENDIAN)
4239 emit_insn (gen_extqh_be (data_regs[i], data_regs[i], sreg));
4240 emit_insn (gen_extxl_be (ext_tmps[i], data_regs[i+1], i64, sreg));
4242 else
4244 emit_insn (gen_extxl_le (data_regs[i], data_regs[i], i64, sreg));
4245 emit_insn (gen_extqh_le (ext_tmps[i], data_regs[i+1], sreg));
4247 emit_insn (gen_rtx_SET (VOIDmode, ext_tmps[i],
4248 gen_rtx_IF_THEN_ELSE (DImode,
4249 gen_rtx_EQ (DImode, areg,
4250 const0_rtx),
4251 const0_rtx, ext_tmps[i])));
4254 /* Merge the half-words into whole words. */
4255 for (i = 0; i < words; ++i)
4257 out_regs[i] = expand_binop (DImode, ior_optab, data_regs[i],
4258 ext_tmps[i], data_regs[i], 1, OPTAB_WIDEN);
4262 /* Store an integral number of consecutive unaligned quadwords. DATA_REGS
4263 may be NULL to store zeros. */
4265 static void
4266 alpha_expand_unaligned_store_words (rtx *data_regs, rtx dmem,
4267 HOST_WIDE_INT words, HOST_WIDE_INT ofs)
4269 rtx const im8 = GEN_INT (-8);
4270 rtx const i64 = GEN_INT (64);
4271 rtx ins_tmps[MAX_MOVE_WORDS];
4272 rtx st_tmp_1, st_tmp_2, dreg;
4273 rtx st_addr_1, st_addr_2, dmema;
4274 HOST_WIDE_INT i;
4276 dmema = XEXP (dmem, 0);
4277 if (GET_CODE (dmema) == LO_SUM)
4278 dmema = force_reg (Pmode, dmema);
4280 /* Generate all the tmp registers we need. */
4281 if (data_regs != NULL)
4282 for (i = 0; i < words; ++i)
4283 ins_tmps[i] = gen_reg_rtx(DImode);
4284 st_tmp_1 = gen_reg_rtx(DImode);
4285 st_tmp_2 = gen_reg_rtx(DImode);
4287 if (ofs != 0)
4288 dmem = adjust_address (dmem, GET_MODE (dmem), ofs);
4290 st_addr_2 = change_address (dmem, DImode,
4291 gen_rtx_AND (DImode,
4292 plus_constant (dmema, words*8 - 1),
4293 im8));
4294 set_mem_alias_set (st_addr_2, 0);
4296 st_addr_1 = change_address (dmem, DImode,
4297 gen_rtx_AND (DImode, dmema, im8));
4298 set_mem_alias_set (st_addr_1, 0);
4300 /* Load up the destination end bits. */
4301 emit_move_insn (st_tmp_2, st_addr_2);
4302 emit_move_insn (st_tmp_1, st_addr_1);
4304 /* Shift the input data into place. */
4305 dreg = copy_addr_to_reg (dmema);
4306 if (WORDS_BIG_ENDIAN)
4307 emit_move_insn (dreg, plus_constant (dreg, 7));
4308 if (data_regs != NULL)
4310 for (i = words-1; i >= 0; --i)
4312 if (WORDS_BIG_ENDIAN)
4314 emit_insn (gen_insql_be (ins_tmps[i], data_regs[i], dreg));
4315 emit_insn (gen_insxh (data_regs[i], data_regs[i], i64, dreg));
4317 else
4319 emit_insn (gen_insxh (ins_tmps[i], data_regs[i], i64, dreg));
4320 emit_insn (gen_insql_le (data_regs[i], data_regs[i], dreg));
4323 for (i = words-1; i > 0; --i)
4325 ins_tmps[i-1] = expand_binop (DImode, ior_optab, data_regs[i],
4326 ins_tmps[i-1], ins_tmps[i-1], 1,
4327 OPTAB_WIDEN);
4331 /* Split and merge the ends with the destination data. */
4332 if (WORDS_BIG_ENDIAN)
4334 emit_insn (gen_mskxl_be (st_tmp_2, st_tmp_2, constm1_rtx, dreg));
4335 emit_insn (gen_mskxh (st_tmp_1, st_tmp_1, i64, dreg));
4337 else
4339 emit_insn (gen_mskxh (st_tmp_2, st_tmp_2, i64, dreg));
4340 emit_insn (gen_mskxl_le (st_tmp_1, st_tmp_1, constm1_rtx, dreg));
4343 if (data_regs != NULL)
4345 st_tmp_2 = expand_binop (DImode, ior_optab, st_tmp_2, ins_tmps[words-1],
4346 st_tmp_2, 1, OPTAB_WIDEN);
4347 st_tmp_1 = expand_binop (DImode, ior_optab, st_tmp_1, data_regs[0],
4348 st_tmp_1, 1, OPTAB_WIDEN);
4351 /* Store it all. */
4352 if (WORDS_BIG_ENDIAN)
4353 emit_move_insn (st_addr_1, st_tmp_1);
4354 else
4355 emit_move_insn (st_addr_2, st_tmp_2);
4356 for (i = words-1; i > 0; --i)
4358 rtx tmp = change_address (dmem, DImode,
4359 gen_rtx_AND (DImode,
4360 plus_constant(dmema,
4361 WORDS_BIG_ENDIAN ? i*8-1 : i*8),
4362 im8));
4363 set_mem_alias_set (tmp, 0);
4364 emit_move_insn (tmp, data_regs ? ins_tmps[i-1] : const0_rtx);
4366 if (WORDS_BIG_ENDIAN)
4367 emit_move_insn (st_addr_2, st_tmp_2);
4368 else
4369 emit_move_insn (st_addr_1, st_tmp_1);
4373 /* Expand string/block move operations.
4375 operands[0] is the pointer to the destination.
4376 operands[1] is the pointer to the source.
4377 operands[2] is the number of bytes to move.
4378 operands[3] is the alignment. */
4381 alpha_expand_block_move (rtx operands[])
4383 rtx bytes_rtx = operands[2];
4384 rtx align_rtx = operands[3];
4385 HOST_WIDE_INT orig_bytes = INTVAL (bytes_rtx);
4386 HOST_WIDE_INT bytes = orig_bytes;
4387 HOST_WIDE_INT src_align = INTVAL (align_rtx) * BITS_PER_UNIT;
4388 HOST_WIDE_INT dst_align = src_align;
4389 rtx orig_src = operands[1];
4390 rtx orig_dst = operands[0];
4391 rtx data_regs[2 * MAX_MOVE_WORDS + 16];
4392 rtx tmp;
4393 unsigned int i, words, ofs, nregs = 0;
4395 if (orig_bytes <= 0)
4396 return 1;
4397 else if (orig_bytes > MAX_MOVE_WORDS * UNITS_PER_WORD)
4398 return 0;
4400 /* Look for additional alignment information from recorded register info. */
4402 tmp = XEXP (orig_src, 0);
4403 if (GET_CODE (tmp) == REG)
4404 src_align = MAX (src_align, REGNO_POINTER_ALIGN (REGNO (tmp)));
4405 else if (GET_CODE (tmp) == PLUS
4406 && GET_CODE (XEXP (tmp, 0)) == REG
4407 && GET_CODE (XEXP (tmp, 1)) == CONST_INT)
4409 unsigned HOST_WIDE_INT c = INTVAL (XEXP (tmp, 1));
4410 unsigned int a = REGNO_POINTER_ALIGN (REGNO (XEXP (tmp, 0)));
4412 if (a > src_align)
4414 if (a >= 64 && c % 8 == 0)
4415 src_align = 64;
4416 else if (a >= 32 && c % 4 == 0)
4417 src_align = 32;
4418 else if (a >= 16 && c % 2 == 0)
4419 src_align = 16;
4423 tmp = XEXP (orig_dst, 0);
4424 if (GET_CODE (tmp) == REG)
4425 dst_align = MAX (dst_align, REGNO_POINTER_ALIGN (REGNO (tmp)));
4426 else if (GET_CODE (tmp) == PLUS
4427 && GET_CODE (XEXP (tmp, 0)) == REG
4428 && GET_CODE (XEXP (tmp, 1)) == CONST_INT)
4430 unsigned HOST_WIDE_INT c = INTVAL (XEXP (tmp, 1));
4431 unsigned int a = REGNO_POINTER_ALIGN (REGNO (XEXP (tmp, 0)));
4433 if (a > dst_align)
4435 if (a >= 64 && c % 8 == 0)
4436 dst_align = 64;
4437 else if (a >= 32 && c % 4 == 0)
4438 dst_align = 32;
4439 else if (a >= 16 && c % 2 == 0)
4440 dst_align = 16;
4444 /* Load the entire block into registers. */
4445 if (GET_CODE (XEXP (orig_src, 0)) == ADDRESSOF)
4447 enum machine_mode mode;
4449 tmp = XEXP (XEXP (orig_src, 0), 0);
4451 /* Don't use the existing register if we're reading more than
4452 is held in the register. Nor if there is not a mode that
4453 handles the exact size. */
4454 mode = mode_for_size (bytes * BITS_PER_UNIT, MODE_INT, 1);
4455 if (mode != BLKmode
4456 && GET_MODE_SIZE (GET_MODE (tmp)) >= bytes)
4458 if (mode == TImode)
4460 data_regs[nregs] = gen_lowpart (DImode, tmp);
4461 data_regs[nregs + 1] = gen_highpart (DImode, tmp);
4462 nregs += 2;
4464 else
4465 data_regs[nregs++] = gen_lowpart (mode, tmp);
4467 goto src_done;
4470 /* No appropriate mode; fall back on memory. */
4471 orig_src = replace_equiv_address (orig_src,
4472 copy_addr_to_reg (XEXP (orig_src, 0)));
4473 src_align = GET_MODE_BITSIZE (GET_MODE (tmp));
4476 ofs = 0;
4477 if (src_align >= 64 && bytes >= 8)
4479 words = bytes / 8;
4481 for (i = 0; i < words; ++i)
4482 data_regs[nregs + i] = gen_reg_rtx (DImode);
4484 for (i = 0; i < words; ++i)
4485 emit_move_insn (data_regs[nregs + i],
4486 adjust_address (orig_src, DImode, ofs + i * 8));
4488 nregs += words;
4489 bytes -= words * 8;
4490 ofs += words * 8;
4493 if (src_align >= 32 && bytes >= 4)
4495 words = bytes / 4;
4497 for (i = 0; i < words; ++i)
4498 data_regs[nregs + i] = gen_reg_rtx (SImode);
4500 for (i = 0; i < words; ++i)
4501 emit_move_insn (data_regs[nregs + i],
4502 adjust_address (orig_src, SImode, ofs + i * 4));
4504 nregs += words;
4505 bytes -= words * 4;
4506 ofs += words * 4;
4509 if (bytes >= 8)
4511 words = bytes / 8;
4513 for (i = 0; i < words+1; ++i)
4514 data_regs[nregs + i] = gen_reg_rtx (DImode);
4516 alpha_expand_unaligned_load_words (data_regs + nregs, orig_src,
4517 words, ofs);
4519 nregs += words;
4520 bytes -= words * 8;
4521 ofs += words * 8;
4524 if (! TARGET_BWX && bytes >= 4)
4526 data_regs[nregs++] = tmp = gen_reg_rtx (SImode);
4527 alpha_expand_unaligned_load (tmp, orig_src, 4, ofs, 0);
4528 bytes -= 4;
4529 ofs += 4;
4532 if (bytes >= 2)
4534 if (src_align >= 16)
4536 do {
4537 data_regs[nregs++] = tmp = gen_reg_rtx (HImode);
4538 emit_move_insn (tmp, adjust_address (orig_src, HImode, ofs));
4539 bytes -= 2;
4540 ofs += 2;
4541 } while (bytes >= 2);
4543 else if (! TARGET_BWX)
4545 data_regs[nregs++] = tmp = gen_reg_rtx (HImode);
4546 alpha_expand_unaligned_load (tmp, orig_src, 2, ofs, 0);
4547 bytes -= 2;
4548 ofs += 2;
4552 while (bytes > 0)
4554 data_regs[nregs++] = tmp = gen_reg_rtx (QImode);
4555 emit_move_insn (tmp, adjust_address (orig_src, QImode, ofs));
4556 bytes -= 1;
4557 ofs += 1;
4560 src_done:
4562 if (nregs > ARRAY_SIZE (data_regs))
4563 abort ();
4565 /* Now save it back out again. */
4567 i = 0, ofs = 0;
4569 if (GET_CODE (XEXP (orig_dst, 0)) == ADDRESSOF)
4571 enum machine_mode mode;
4572 tmp = XEXP (XEXP (orig_dst, 0), 0);
4574 mode = mode_for_size (orig_bytes * BITS_PER_UNIT, MODE_INT, 1);
4575 if (GET_MODE (tmp) == mode)
4577 if (nregs == 1)
4579 emit_move_insn (tmp, data_regs[0]);
4580 i = 1;
4581 goto dst_done;
4584 else if (nregs == 2 && mode == TImode)
4586 /* Undo the subregging done above when copying between
4587 two TImode registers. */
4588 if (GET_CODE (data_regs[0]) == SUBREG
4589 && GET_MODE (SUBREG_REG (data_regs[0])) == TImode)
4590 emit_move_insn (tmp, SUBREG_REG (data_regs[0]));
4591 else
4593 rtx seq;
4595 start_sequence ();
4596 emit_move_insn (gen_lowpart (DImode, tmp), data_regs[0]);
4597 emit_move_insn (gen_highpart (DImode, tmp), data_regs[1]);
4598 seq = get_insns ();
4599 end_sequence ();
4601 emit_no_conflict_block (seq, tmp, data_regs[0],
4602 data_regs[1], NULL_RTX);
4605 i = 2;
4606 goto dst_done;
4610 /* ??? If nregs > 1, consider reconstructing the word in regs. */
4611 /* ??? Optimize mode < dst_mode with strict_low_part. */
4613 /* No appropriate mode; fall back on memory. We can speed things
4614 up by recognizing extra alignment information. */
4615 orig_dst = replace_equiv_address (orig_dst,
4616 copy_addr_to_reg (XEXP (orig_dst, 0)));
4617 dst_align = GET_MODE_BITSIZE (GET_MODE (tmp));
4620 /* Write out the data in whatever chunks reading the source allowed. */
4621 if (dst_align >= 64)
4623 while (i < nregs && GET_MODE (data_regs[i]) == DImode)
4625 emit_move_insn (adjust_address (orig_dst, DImode, ofs),
4626 data_regs[i]);
4627 ofs += 8;
4628 i++;
4632 if (dst_align >= 32)
4634 /* If the source has remaining DImode regs, write them out in
4635 two pieces. */
4636 while (i < nregs && GET_MODE (data_regs[i]) == DImode)
4638 tmp = expand_binop (DImode, lshr_optab, data_regs[i], GEN_INT (32),
4639 NULL_RTX, 1, OPTAB_WIDEN);
4641 emit_move_insn (adjust_address (orig_dst, SImode, ofs),
4642 gen_lowpart (SImode, data_regs[i]));
4643 emit_move_insn (adjust_address (orig_dst, SImode, ofs + 4),
4644 gen_lowpart (SImode, tmp));
4645 ofs += 8;
4646 i++;
4649 while (i < nregs && GET_MODE (data_regs[i]) == SImode)
4651 emit_move_insn (adjust_address (orig_dst, SImode, ofs),
4652 data_regs[i]);
4653 ofs += 4;
4654 i++;
4658 if (i < nregs && GET_MODE (data_regs[i]) == DImode)
4660 /* Write out a remaining block of words using unaligned methods. */
4662 for (words = 1; i + words < nregs; words++)
4663 if (GET_MODE (data_regs[i + words]) != DImode)
4664 break;
4666 if (words == 1)
4667 alpha_expand_unaligned_store (orig_dst, data_regs[i], 8, ofs);
4668 else
4669 alpha_expand_unaligned_store_words (data_regs + i, orig_dst,
4670 words, ofs);
4672 i += words;
4673 ofs += words * 8;
4676 /* Due to the above, this won't be aligned. */
4677 /* ??? If we have more than one of these, consider constructing full
4678 words in registers and using alpha_expand_unaligned_store_words. */
4679 while (i < nregs && GET_MODE (data_regs[i]) == SImode)
4681 alpha_expand_unaligned_store (orig_dst, data_regs[i], 4, ofs);
4682 ofs += 4;
4683 i++;
4686 if (dst_align >= 16)
4687 while (i < nregs && GET_MODE (data_regs[i]) == HImode)
4689 emit_move_insn (adjust_address (orig_dst, HImode, ofs), data_regs[i]);
4690 i++;
4691 ofs += 2;
4693 else
4694 while (i < nregs && GET_MODE (data_regs[i]) == HImode)
4696 alpha_expand_unaligned_store (orig_dst, data_regs[i], 2, ofs);
4697 i++;
4698 ofs += 2;
4701 while (i < nregs && GET_MODE (data_regs[i]) == QImode)
4703 emit_move_insn (adjust_address (orig_dst, QImode, ofs), data_regs[i]);
4704 i++;
4705 ofs += 1;
4708 dst_done:
4710 if (i != nregs)
4711 abort ();
4713 return 1;
4717 alpha_expand_block_clear (rtx operands[])
4719 rtx bytes_rtx = operands[1];
4720 rtx align_rtx = operands[2];
4721 HOST_WIDE_INT orig_bytes = INTVAL (bytes_rtx);
4722 HOST_WIDE_INT bytes = orig_bytes;
4723 HOST_WIDE_INT align = INTVAL (align_rtx) * BITS_PER_UNIT;
4724 HOST_WIDE_INT alignofs = 0;
4725 rtx orig_dst = operands[0];
4726 rtx tmp;
4727 int i, words, ofs = 0;
4729 if (orig_bytes <= 0)
4730 return 1;
4731 if (orig_bytes > MAX_MOVE_WORDS * UNITS_PER_WORD)
4732 return 0;
4734 /* Look for stricter alignment. */
4735 tmp = XEXP (orig_dst, 0);
4736 if (GET_CODE (tmp) == REG)
4737 align = MAX (align, REGNO_POINTER_ALIGN (REGNO (tmp)));
4738 else if (GET_CODE (tmp) == PLUS
4739 && GET_CODE (XEXP (tmp, 0)) == REG
4740 && GET_CODE (XEXP (tmp, 1)) == CONST_INT)
4742 HOST_WIDE_INT c = INTVAL (XEXP (tmp, 1));
4743 int a = REGNO_POINTER_ALIGN (REGNO (XEXP (tmp, 0)));
4745 if (a > align)
4747 if (a >= 64)
4748 align = a, alignofs = 8 - c % 8;
4749 else if (a >= 32)
4750 align = a, alignofs = 4 - c % 4;
4751 else if (a >= 16)
4752 align = a, alignofs = 2 - c % 2;
4755 else if (GET_CODE (tmp) == ADDRESSOF)
4757 enum machine_mode mode;
4759 mode = mode_for_size (bytes * BITS_PER_UNIT, MODE_INT, 1);
4760 if (GET_MODE (XEXP (tmp, 0)) == mode)
4762 emit_move_insn (XEXP (tmp, 0), const0_rtx);
4763 return 1;
4766 /* No appropriate mode; fall back on memory. */
4767 orig_dst = replace_equiv_address (orig_dst, copy_addr_to_reg (tmp));
4768 align = GET_MODE_BITSIZE (GET_MODE (XEXP (tmp, 0)));
4771 /* Handle an unaligned prefix first. */
4773 if (alignofs > 0)
4775 #if HOST_BITS_PER_WIDE_INT >= 64
4776 /* Given that alignofs is bounded by align, the only time BWX could
4777 generate three stores is for a 7 byte fill. Prefer two individual
4778 stores over a load/mask/store sequence. */
4779 if ((!TARGET_BWX || alignofs == 7)
4780 && align >= 32
4781 && !(alignofs == 4 && bytes >= 4))
4783 enum machine_mode mode = (align >= 64 ? DImode : SImode);
4784 int inv_alignofs = (align >= 64 ? 8 : 4) - alignofs;
4785 rtx mem, tmp;
4786 HOST_WIDE_INT mask;
4788 mem = adjust_address (orig_dst, mode, ofs - inv_alignofs);
4789 set_mem_alias_set (mem, 0);
4791 mask = ~(~(HOST_WIDE_INT)0 << (inv_alignofs * 8));
4792 if (bytes < alignofs)
4794 mask |= ~(HOST_WIDE_INT)0 << ((inv_alignofs + bytes) * 8);
4795 ofs += bytes;
4796 bytes = 0;
4798 else
4800 bytes -= alignofs;
4801 ofs += alignofs;
4803 alignofs = 0;
4805 tmp = expand_binop (mode, and_optab, mem, GEN_INT (mask),
4806 NULL_RTX, 1, OPTAB_WIDEN);
4808 emit_move_insn (mem, tmp);
4810 #endif
4812 if (TARGET_BWX && (alignofs & 1) && bytes >= 1)
4814 emit_move_insn (adjust_address (orig_dst, QImode, ofs), const0_rtx);
4815 bytes -= 1;
4816 ofs += 1;
4817 alignofs -= 1;
4819 if (TARGET_BWX && align >= 16 && (alignofs & 3) == 2 && bytes >= 2)
4821 emit_move_insn (adjust_address (orig_dst, HImode, ofs), const0_rtx);
4822 bytes -= 2;
4823 ofs += 2;
4824 alignofs -= 2;
4826 if (alignofs == 4 && bytes >= 4)
4828 emit_move_insn (adjust_address (orig_dst, SImode, ofs), const0_rtx);
4829 bytes -= 4;
4830 ofs += 4;
4831 alignofs = 0;
4834 /* If we've not used the extra lead alignment information by now,
4835 we won't be able to. Downgrade align to match what's left over. */
4836 if (alignofs > 0)
4838 alignofs = alignofs & -alignofs;
4839 align = MIN (align, alignofs * BITS_PER_UNIT);
4843 /* Handle a block of contiguous long-words. */
4845 if (align >= 64 && bytes >= 8)
4847 words = bytes / 8;
4849 for (i = 0; i < words; ++i)
4850 emit_move_insn (adjust_address (orig_dst, DImode, ofs + i * 8),
4851 const0_rtx);
4853 bytes -= words * 8;
4854 ofs += words * 8;
4857 /* If the block is large and appropriately aligned, emit a single
4858 store followed by a sequence of stq_u insns. */
4860 if (align >= 32 && bytes > 16)
4862 rtx orig_dsta;
4864 emit_move_insn (adjust_address (orig_dst, SImode, ofs), const0_rtx);
4865 bytes -= 4;
4866 ofs += 4;
4868 orig_dsta = XEXP (orig_dst, 0);
4869 if (GET_CODE (orig_dsta) == LO_SUM)
4870 orig_dsta = force_reg (Pmode, orig_dsta);
4872 words = bytes / 8;
4873 for (i = 0; i < words; ++i)
4875 rtx mem
4876 = change_address (orig_dst, DImode,
4877 gen_rtx_AND (DImode,
4878 plus_constant (orig_dsta, ofs + i*8),
4879 GEN_INT (-8)));
4880 set_mem_alias_set (mem, 0);
4881 emit_move_insn (mem, const0_rtx);
4884 /* Depending on the alignment, the first stq_u may have overlapped
4885 with the initial stl, which means that the last stq_u didn't
4886 write as much as it would appear. Leave those questionable bytes
4887 unaccounted for. */
4888 bytes -= words * 8 - 4;
4889 ofs += words * 8 - 4;
4892 /* Handle a smaller block of aligned words. */
4894 if ((align >= 64 && bytes == 4)
4895 || (align == 32 && bytes >= 4))
4897 words = bytes / 4;
4899 for (i = 0; i < words; ++i)
4900 emit_move_insn (adjust_address (orig_dst, SImode, ofs + i * 4),
4901 const0_rtx);
4903 bytes -= words * 4;
4904 ofs += words * 4;
4907 /* An unaligned block uses stq_u stores for as many as possible. */
4909 if (bytes >= 8)
4911 words = bytes / 8;
4913 alpha_expand_unaligned_store_words (NULL, orig_dst, words, ofs);
4915 bytes -= words * 8;
4916 ofs += words * 8;
4919 /* Next clean up any trailing pieces. */
4921 #if HOST_BITS_PER_WIDE_INT >= 64
4922 /* Count the number of bits in BYTES for which aligned stores could
4923 be emitted. */
4924 words = 0;
4925 for (i = (TARGET_BWX ? 1 : 4); i * BITS_PER_UNIT <= align ; i <<= 1)
4926 if (bytes & i)
4927 words += 1;
4929 /* If we have appropriate alignment (and it wouldn't take too many
4930 instructions otherwise), mask out the bytes we need. */
4931 if (TARGET_BWX ? words > 2 : bytes > 0)
4933 if (align >= 64)
4935 rtx mem, tmp;
4936 HOST_WIDE_INT mask;
4938 mem = adjust_address (orig_dst, DImode, ofs);
4939 set_mem_alias_set (mem, 0);
4941 mask = ~(HOST_WIDE_INT)0 << (bytes * 8);
4943 tmp = expand_binop (DImode, and_optab, mem, GEN_INT (mask),
4944 NULL_RTX, 1, OPTAB_WIDEN);
4946 emit_move_insn (mem, tmp);
4947 return 1;
4949 else if (align >= 32 && bytes < 4)
4951 rtx mem, tmp;
4952 HOST_WIDE_INT mask;
4954 mem = adjust_address (orig_dst, SImode, ofs);
4955 set_mem_alias_set (mem, 0);
4957 mask = ~(HOST_WIDE_INT)0 << (bytes * 8);
4959 tmp = expand_binop (SImode, and_optab, mem, GEN_INT (mask),
4960 NULL_RTX, 1, OPTAB_WIDEN);
4962 emit_move_insn (mem, tmp);
4963 return 1;
4966 #endif
4968 if (!TARGET_BWX && bytes >= 4)
4970 alpha_expand_unaligned_store (orig_dst, const0_rtx, 4, ofs);
4971 bytes -= 4;
4972 ofs += 4;
4975 if (bytes >= 2)
4977 if (align >= 16)
4979 do {
4980 emit_move_insn (adjust_address (orig_dst, HImode, ofs),
4981 const0_rtx);
4982 bytes -= 2;
4983 ofs += 2;
4984 } while (bytes >= 2);
4986 else if (! TARGET_BWX)
4988 alpha_expand_unaligned_store (orig_dst, const0_rtx, 2, ofs);
4989 bytes -= 2;
4990 ofs += 2;
4994 while (bytes > 0)
4996 emit_move_insn (adjust_address (orig_dst, QImode, ofs), const0_rtx);
4997 bytes -= 1;
4998 ofs += 1;
5001 return 1;
5004 /* Returns a mask so that zap(x, value) == x & mask. */
5007 alpha_expand_zap_mask (HOST_WIDE_INT value)
5009 rtx result;
5010 int i;
5012 if (HOST_BITS_PER_WIDE_INT >= 64)
5014 HOST_WIDE_INT mask = 0;
5016 for (i = 7; i >= 0; --i)
5018 mask <<= 8;
5019 if (!((value >> i) & 1))
5020 mask |= 0xff;
5023 result = gen_int_mode (mask, DImode);
5025 else if (HOST_BITS_PER_WIDE_INT == 32)
5027 HOST_WIDE_INT mask_lo = 0, mask_hi = 0;
5029 for (i = 7; i >= 4; --i)
5031 mask_hi <<= 8;
5032 if (!((value >> i) & 1))
5033 mask_hi |= 0xff;
5036 for (i = 3; i >= 0; --i)
5038 mask_lo <<= 8;
5039 if (!((value >> i) & 1))
5040 mask_lo |= 0xff;
5043 result = immed_double_const (mask_lo, mask_hi, DImode);
5045 else
5046 abort ();
5048 return result;
5051 void
5052 alpha_expand_builtin_vector_binop (rtx (*gen) (rtx, rtx, rtx),
5053 enum machine_mode mode,
5054 rtx op0, rtx op1, rtx op2)
5056 op0 = gen_lowpart (mode, op0);
5058 if (op1 == const0_rtx)
5059 op1 = CONST0_RTX (mode);
5060 else
5061 op1 = gen_lowpart (mode, op1);
5063 if (op2 == const0_rtx)
5064 op2 = CONST0_RTX (mode);
5065 else
5066 op2 = gen_lowpart (mode, op2);
5068 emit_insn ((*gen) (op0, op1, op2));
5071 /* Adjust the cost of a scheduling dependency. Return the new cost of
5072 a dependency LINK or INSN on DEP_INSN. COST is the current cost. */
5074 static int
5075 alpha_adjust_cost (rtx insn, rtx link, rtx dep_insn, int cost)
5077 enum attr_type insn_type, dep_insn_type;
5079 /* If the dependence is an anti-dependence, there is no cost. For an
5080 output dependence, there is sometimes a cost, but it doesn't seem
5081 worth handling those few cases. */
5082 if (REG_NOTE_KIND (link) != 0)
5083 return cost;
5085 /* If we can't recognize the insns, we can't really do anything. */
5086 if (recog_memoized (insn) < 0 || recog_memoized (dep_insn) < 0)
5087 return cost;
5089 insn_type = get_attr_type (insn);
5090 dep_insn_type = get_attr_type (dep_insn);
5092 /* Bring in the user-defined memory latency. */
5093 if (dep_insn_type == TYPE_ILD
5094 || dep_insn_type == TYPE_FLD
5095 || dep_insn_type == TYPE_LDSYM)
5096 cost += alpha_memory_latency-1;
5098 /* Everything else handled in DFA bypasses now. */
5100 return cost;
5103 /* The number of instructions that can be issued per cycle. */
5105 static int
5106 alpha_issue_rate (void)
5108 return (alpha_cpu == PROCESSOR_EV4 ? 2 : 4);
5111 static int
5112 alpha_use_dfa_pipeline_interface (void)
5114 return true;
5117 /* How many alternative schedules to try. This should be as wide as the
5118 scheduling freedom in the DFA, but no wider. Making this value too
5119 large results extra work for the scheduler.
5121 For EV4, loads can be issued to either IB0 or IB1, thus we have 2
5122 alternative schedules. For EV5, we can choose between E0/E1 and
5123 FA/FM. For EV6, an arithmetic insn can be issued to U0/U1/L0/L1. */
5125 static int
5126 alpha_multipass_dfa_lookahead (void)
5128 return (alpha_cpu == PROCESSOR_EV6 ? 4 : 2);
5131 /* Machine-specific function data. */
5133 struct machine_function GTY(())
5135 /* For unicosmk. */
5136 /* List of call information words for calls from this function. */
5137 struct rtx_def *first_ciw;
5138 struct rtx_def *last_ciw;
5139 int ciw_count;
5141 /* List of deferred case vectors. */
5142 struct rtx_def *addr_list;
5144 /* For OSF. */
5145 const char *some_ld_name;
5148 /* How to allocate a 'struct machine_function'. */
5150 static struct machine_function *
5151 alpha_init_machine_status (void)
5153 return ((struct machine_function *)
5154 ggc_alloc_cleared (sizeof (struct machine_function)));
5157 /* Functions to save and restore alpha_return_addr_rtx. */
5159 /* Start the ball rolling with RETURN_ADDR_RTX. */
5162 alpha_return_addr (int count, rtx frame ATTRIBUTE_UNUSED)
5164 if (count != 0)
5165 return const0_rtx;
5167 return get_hard_reg_initial_val (Pmode, REG_RA);
5170 /* Return or create a pseudo containing the gp value for the current
5171 function. Needed only if TARGET_LD_BUGGY_LDGP. */
5174 alpha_gp_save_rtx (void)
5176 rtx r = get_hard_reg_initial_val (DImode, 29);
5177 if (GET_CODE (r) != MEM)
5178 r = gen_mem_addressof (r, NULL_TREE, /*rescan=*/true);
5179 return r;
5182 static int
5183 alpha_ra_ever_killed (void)
5185 rtx top;
5187 if (!has_hard_reg_initial_val (Pmode, REG_RA))
5188 return regs_ever_live[REG_RA];
5190 push_topmost_sequence ();
5191 top = get_insns ();
5192 pop_topmost_sequence ();
5194 return reg_set_between_p (gen_rtx_REG (Pmode, REG_RA), top, NULL_RTX);
5198 /* Return the trap mode suffix applicable to the current
5199 instruction, or NULL. */
5201 static const char *
5202 get_trap_mode_suffix (void)
5204 enum attr_trap_suffix s = get_attr_trap_suffix (current_output_insn);
5206 switch (s)
5208 case TRAP_SUFFIX_NONE:
5209 return NULL;
5211 case TRAP_SUFFIX_SU:
5212 if (alpha_fptm >= ALPHA_FPTM_SU)
5213 return "su";
5214 return NULL;
5216 case TRAP_SUFFIX_SUI:
5217 if (alpha_fptm >= ALPHA_FPTM_SUI)
5218 return "sui";
5219 return NULL;
5221 case TRAP_SUFFIX_V_SV:
5222 switch (alpha_fptm)
5224 case ALPHA_FPTM_N:
5225 return NULL;
5226 case ALPHA_FPTM_U:
5227 return "v";
5228 case ALPHA_FPTM_SU:
5229 case ALPHA_FPTM_SUI:
5230 return "sv";
5232 break;
5234 case TRAP_SUFFIX_V_SV_SVI:
5235 switch (alpha_fptm)
5237 case ALPHA_FPTM_N:
5238 return NULL;
5239 case ALPHA_FPTM_U:
5240 return "v";
5241 case ALPHA_FPTM_SU:
5242 return "sv";
5243 case ALPHA_FPTM_SUI:
5244 return "svi";
5246 break;
5248 case TRAP_SUFFIX_U_SU_SUI:
5249 switch (alpha_fptm)
5251 case ALPHA_FPTM_N:
5252 return NULL;
5253 case ALPHA_FPTM_U:
5254 return "u";
5255 case ALPHA_FPTM_SU:
5256 return "su";
5257 case ALPHA_FPTM_SUI:
5258 return "sui";
5260 break;
5262 abort ();
5265 /* Return the rounding mode suffix applicable to the current
5266 instruction, or NULL. */
5268 static const char *
5269 get_round_mode_suffix (void)
5271 enum attr_round_suffix s = get_attr_round_suffix (current_output_insn);
5273 switch (s)
5275 case ROUND_SUFFIX_NONE:
5276 return NULL;
5277 case ROUND_SUFFIX_NORMAL:
5278 switch (alpha_fprm)
5280 case ALPHA_FPRM_NORM:
5281 return NULL;
5282 case ALPHA_FPRM_MINF:
5283 return "m";
5284 case ALPHA_FPRM_CHOP:
5285 return "c";
5286 case ALPHA_FPRM_DYN:
5287 return "d";
5289 break;
5291 case ROUND_SUFFIX_C:
5292 return "c";
5294 abort ();
5297 /* Locate some local-dynamic symbol still in use by this function
5298 so that we can print its name in some movdi_er_tlsldm pattern. */
5300 static int
5301 get_some_local_dynamic_name_1 (rtx *px, void *data ATTRIBUTE_UNUSED)
5303 rtx x = *px;
5305 if (GET_CODE (x) == SYMBOL_REF
5306 && SYMBOL_REF_TLS_MODEL (x) == TLS_MODEL_LOCAL_DYNAMIC)
5308 cfun->machine->some_ld_name = XSTR (x, 0);
5309 return 1;
5312 return 0;
5315 static const char *
5316 get_some_local_dynamic_name (void)
5318 rtx insn;
5320 if (cfun->machine->some_ld_name)
5321 return cfun->machine->some_ld_name;
5323 for (insn = get_insns (); insn ; insn = NEXT_INSN (insn))
5324 if (INSN_P (insn)
5325 && for_each_rtx (&PATTERN (insn), get_some_local_dynamic_name_1, 0))
5326 return cfun->machine->some_ld_name;
5328 abort ();
5331 /* Print an operand. Recognize special options, documented below. */
5333 void
5334 print_operand (FILE *file, rtx x, int code)
5336 int i;
5338 switch (code)
5340 case '~':
5341 /* Print the assembler name of the current function. */
5342 assemble_name (file, alpha_fnname);
5343 break;
5345 case '&':
5346 assemble_name (file, get_some_local_dynamic_name ());
5347 break;
5349 case '/':
5351 const char *trap = get_trap_mode_suffix ();
5352 const char *round = get_round_mode_suffix ();
5354 if (trap || round)
5355 fprintf (file, (TARGET_AS_SLASH_BEFORE_SUFFIX ? "/%s%s" : "%s%s"),
5356 (trap ? trap : ""), (round ? round : ""));
5357 break;
5360 case ',':
5361 /* Generates single precision instruction suffix. */
5362 fputc ((TARGET_FLOAT_VAX ? 'f' : 's'), file);
5363 break;
5365 case '-':
5366 /* Generates double precision instruction suffix. */
5367 fputc ((TARGET_FLOAT_VAX ? 'g' : 't'), file);
5368 break;
5370 case '+':
5371 /* Generates a nop after a noreturn call at the very end of the
5372 function. */
5373 if (next_real_insn (current_output_insn) == 0)
5374 fprintf (file, "\n\tnop");
5375 break;
5377 case '#':
5378 if (alpha_this_literal_sequence_number == 0)
5379 alpha_this_literal_sequence_number = alpha_next_sequence_number++;
5380 fprintf (file, "%d", alpha_this_literal_sequence_number);
5381 break;
5383 case '*':
5384 if (alpha_this_gpdisp_sequence_number == 0)
5385 alpha_this_gpdisp_sequence_number = alpha_next_sequence_number++;
5386 fprintf (file, "%d", alpha_this_gpdisp_sequence_number);
5387 break;
5389 case 'H':
5390 if (GET_CODE (x) == HIGH)
5391 output_addr_const (file, XEXP (x, 0));
5392 else
5393 output_operand_lossage ("invalid %%H value");
5394 break;
5396 case 'J':
5398 const char *lituse;
5400 if (GET_CODE (x) == UNSPEC && XINT (x, 1) == UNSPEC_TLSGD_CALL)
5402 x = XVECEXP (x, 0, 0);
5403 lituse = "lituse_tlsgd";
5405 else if (GET_CODE (x) == UNSPEC && XINT (x, 1) == UNSPEC_TLSLDM_CALL)
5407 x = XVECEXP (x, 0, 0);
5408 lituse = "lituse_tlsldm";
5410 else if (GET_CODE (x) == CONST_INT)
5411 lituse = "lituse_jsr";
5412 else
5414 output_operand_lossage ("invalid %%J value");
5415 break;
5418 if (x != const0_rtx)
5419 fprintf (file, "\t\t!%s!%d", lituse, (int) INTVAL (x));
5421 break;
5423 case 'r':
5424 /* If this operand is the constant zero, write it as "$31". */
5425 if (GET_CODE (x) == REG)
5426 fprintf (file, "%s", reg_names[REGNO (x)]);
5427 else if (x == CONST0_RTX (GET_MODE (x)))
5428 fprintf (file, "$31");
5429 else
5430 output_operand_lossage ("invalid %%r value");
5431 break;
5433 case 'R':
5434 /* Similar, but for floating-point. */
5435 if (GET_CODE (x) == REG)
5436 fprintf (file, "%s", reg_names[REGNO (x)]);
5437 else if (x == CONST0_RTX (GET_MODE (x)))
5438 fprintf (file, "$f31");
5439 else
5440 output_operand_lossage ("invalid %%R value");
5441 break;
5443 case 'N':
5444 /* Write the 1's complement of a constant. */
5445 if (GET_CODE (x) != CONST_INT)
5446 output_operand_lossage ("invalid %%N value");
5448 fprintf (file, HOST_WIDE_INT_PRINT_DEC, ~ INTVAL (x));
5449 break;
5451 case 'P':
5452 /* Write 1 << C, for a constant C. */
5453 if (GET_CODE (x) != CONST_INT)
5454 output_operand_lossage ("invalid %%P value");
5456 fprintf (file, HOST_WIDE_INT_PRINT_DEC, (HOST_WIDE_INT) 1 << INTVAL (x));
5457 break;
5459 case 'h':
5460 /* Write the high-order 16 bits of a constant, sign-extended. */
5461 if (GET_CODE (x) != CONST_INT)
5462 output_operand_lossage ("invalid %%h value");
5464 fprintf (file, HOST_WIDE_INT_PRINT_DEC, INTVAL (x) >> 16);
5465 break;
5467 case 'L':
5468 /* Write the low-order 16 bits of a constant, sign-extended. */
5469 if (GET_CODE (x) != CONST_INT)
5470 output_operand_lossage ("invalid %%L value");
5472 fprintf (file, HOST_WIDE_INT_PRINT_DEC,
5473 (INTVAL (x) & 0xffff) - 2 * (INTVAL (x) & 0x8000));
5474 break;
5476 case 'm':
5477 /* Write mask for ZAP insn. */
5478 if (GET_CODE (x) == CONST_DOUBLE)
5480 HOST_WIDE_INT mask = 0;
5481 HOST_WIDE_INT value;
5483 value = CONST_DOUBLE_LOW (x);
5484 for (i = 0; i < HOST_BITS_PER_WIDE_INT / HOST_BITS_PER_CHAR;
5485 i++, value >>= 8)
5486 if (value & 0xff)
5487 mask |= (1 << i);
5489 value = CONST_DOUBLE_HIGH (x);
5490 for (i = 0; i < HOST_BITS_PER_WIDE_INT / HOST_BITS_PER_CHAR;
5491 i++, value >>= 8)
5492 if (value & 0xff)
5493 mask |= (1 << (i + sizeof (int)));
5495 fprintf (file, HOST_WIDE_INT_PRINT_DEC, mask & 0xff);
5498 else if (GET_CODE (x) == CONST_INT)
5500 HOST_WIDE_INT mask = 0, value = INTVAL (x);
5502 for (i = 0; i < 8; i++, value >>= 8)
5503 if (value & 0xff)
5504 mask |= (1 << i);
5506 fprintf (file, HOST_WIDE_INT_PRINT_DEC, mask);
5508 else
5509 output_operand_lossage ("invalid %%m value");
5510 break;
5512 case 'M':
5513 /* 'b', 'w', 'l', or 'q' as the value of the constant. */
5514 if (GET_CODE (x) != CONST_INT
5515 || (INTVAL (x) != 8 && INTVAL (x) != 16
5516 && INTVAL (x) != 32 && INTVAL (x) != 64))
5517 output_operand_lossage ("invalid %%M value");
5519 fprintf (file, "%s",
5520 (INTVAL (x) == 8 ? "b"
5521 : INTVAL (x) == 16 ? "w"
5522 : INTVAL (x) == 32 ? "l"
5523 : "q"));
5524 break;
5526 case 'U':
5527 /* Similar, except do it from the mask. */
5528 if (GET_CODE (x) == CONST_INT)
5530 HOST_WIDE_INT value = INTVAL (x);
5532 if (value == 0xff)
5534 fputc ('b', file);
5535 break;
5537 if (value == 0xffff)
5539 fputc ('w', file);
5540 break;
5542 if (value == 0xffffffff)
5544 fputc ('l', file);
5545 break;
5547 if (value == -1)
5549 fputc ('q', file);
5550 break;
5553 else if (HOST_BITS_PER_WIDE_INT == 32
5554 && GET_CODE (x) == CONST_DOUBLE
5555 && CONST_DOUBLE_LOW (x) == 0xffffffff
5556 && CONST_DOUBLE_HIGH (x) == 0)
5558 fputc ('l', file);
5559 break;
5561 output_operand_lossage ("invalid %%U value");
5562 break;
5564 case 's':
5565 /* Write the constant value divided by 8 for little-endian mode or
5566 (56 - value) / 8 for big-endian mode. */
5568 if (GET_CODE (x) != CONST_INT
5569 || (unsigned HOST_WIDE_INT) INTVAL (x) >= (WORDS_BIG_ENDIAN
5570 ? 56
5571 : 64)
5572 || (INTVAL (x) & 7) != 0)
5573 output_operand_lossage ("invalid %%s value");
5575 fprintf (file, HOST_WIDE_INT_PRINT_DEC,
5576 WORDS_BIG_ENDIAN
5577 ? (56 - INTVAL (x)) / 8
5578 : INTVAL (x) / 8);
5579 break;
5581 case 'S':
5582 /* Same, except compute (64 - c) / 8 */
5584 if (GET_CODE (x) != CONST_INT
5585 && (unsigned HOST_WIDE_INT) INTVAL (x) >= 64
5586 && (INTVAL (x) & 7) != 8)
5587 output_operand_lossage ("invalid %%s value");
5589 fprintf (file, HOST_WIDE_INT_PRINT_DEC, (64 - INTVAL (x)) / 8);
5590 break;
5592 case 't':
5594 /* On Unicos/Mk systems: use a DEX expression if the symbol
5595 clashes with a register name. */
5596 int dex = unicosmk_need_dex (x);
5597 if (dex)
5598 fprintf (file, "DEX(%d)", dex);
5599 else
5600 output_addr_const (file, x);
5602 break;
5604 case 'C': case 'D': case 'c': case 'd':
5605 /* Write out comparison name. */
5607 enum rtx_code c = GET_CODE (x);
5609 if (GET_RTX_CLASS (c) != '<')
5610 output_operand_lossage ("invalid %%C value");
5612 else if (code == 'D')
5613 c = reverse_condition (c);
5614 else if (code == 'c')
5615 c = swap_condition (c);
5616 else if (code == 'd')
5617 c = swap_condition (reverse_condition (c));
5619 if (c == LEU)
5620 fprintf (file, "ule");
5621 else if (c == LTU)
5622 fprintf (file, "ult");
5623 else if (c == UNORDERED)
5624 fprintf (file, "un");
5625 else
5626 fprintf (file, "%s", GET_RTX_NAME (c));
5628 break;
5630 case 'E':
5631 /* Write the divide or modulus operator. */
5632 switch (GET_CODE (x))
5634 case DIV:
5635 fprintf (file, "div%s", GET_MODE (x) == SImode ? "l" : "q");
5636 break;
5637 case UDIV:
5638 fprintf (file, "div%su", GET_MODE (x) == SImode ? "l" : "q");
5639 break;
5640 case MOD:
5641 fprintf (file, "rem%s", GET_MODE (x) == SImode ? "l" : "q");
5642 break;
5643 case UMOD:
5644 fprintf (file, "rem%su", GET_MODE (x) == SImode ? "l" : "q");
5645 break;
5646 default:
5647 output_operand_lossage ("invalid %%E value");
5648 break;
5650 break;
5652 case 'A':
5653 /* Write "_u" for unaligned access. */
5654 if (GET_CODE (x) == MEM && GET_CODE (XEXP (x, 0)) == AND)
5655 fprintf (file, "_u");
5656 break;
5658 case 0:
5659 if (GET_CODE (x) == REG)
5660 fprintf (file, "%s", reg_names[REGNO (x)]);
5661 else if (GET_CODE (x) == MEM)
5662 output_address (XEXP (x, 0));
5663 else if (GET_CODE (x) == CONST && GET_CODE (XEXP (x, 0)) == UNSPEC)
5665 switch (XINT (XEXP (x, 0), 1))
5667 case UNSPEC_DTPREL:
5668 case UNSPEC_TPREL:
5669 output_addr_const (file, XVECEXP (XEXP (x, 0), 0, 0));
5670 break;
5671 default:
5672 output_operand_lossage ("unknown relocation unspec");
5673 break;
5676 else
5677 output_addr_const (file, x);
5678 break;
5680 default:
5681 output_operand_lossage ("invalid %%xn code");
5685 void
5686 print_operand_address (FILE *file, rtx addr)
5688 int basereg = 31;
5689 HOST_WIDE_INT offset = 0;
5691 if (GET_CODE (addr) == AND)
5692 addr = XEXP (addr, 0);
5694 if (GET_CODE (addr) == PLUS
5695 && GET_CODE (XEXP (addr, 1)) == CONST_INT)
5697 offset = INTVAL (XEXP (addr, 1));
5698 addr = XEXP (addr, 0);
5701 if (GET_CODE (addr) == LO_SUM)
5703 const char *reloc16, *reloclo;
5704 rtx op1 = XEXP (addr, 1);
5706 if (GET_CODE (op1) == CONST && GET_CODE (XEXP (op1, 0)) == UNSPEC)
5708 op1 = XEXP (op1, 0);
5709 switch (XINT (op1, 1))
5711 case UNSPEC_DTPREL:
5712 reloc16 = NULL;
5713 reloclo = (alpha_tls_size == 16 ? "dtprel" : "dtprello");
5714 break;
5715 case UNSPEC_TPREL:
5716 reloc16 = NULL;
5717 reloclo = (alpha_tls_size == 16 ? "tprel" : "tprello");
5718 break;
5719 default:
5720 output_operand_lossage ("unknown relocation unspec");
5721 return;
5724 output_addr_const (file, XVECEXP (op1, 0, 0));
5726 else
5728 reloc16 = "gprel";
5729 reloclo = "gprellow";
5730 output_addr_const (file, op1);
5733 if (offset)
5734 fprintf (file, "+" HOST_WIDE_INT_PRINT_DEC, offset);
5736 addr = XEXP (addr, 0);
5737 if (GET_CODE (addr) == REG)
5738 basereg = REGNO (addr);
5739 else if (GET_CODE (addr) == SUBREG
5740 && GET_CODE (SUBREG_REG (addr)) == REG)
5741 basereg = subreg_regno (addr);
5742 else
5743 abort ();
5745 fprintf (file, "($%d)\t\t!%s", basereg,
5746 (basereg == 29 ? reloc16 : reloclo));
5747 return;
5750 if (GET_CODE (addr) == REG)
5751 basereg = REGNO (addr);
5752 else if (GET_CODE (addr) == SUBREG
5753 && GET_CODE (SUBREG_REG (addr)) == REG)
5754 basereg = subreg_regno (addr);
5755 else if (GET_CODE (addr) == CONST_INT)
5756 offset = INTVAL (addr);
5758 #if TARGET_ABI_OPEN_VMS
5759 else if (GET_CODE (addr) == SYMBOL_REF)
5761 fprintf (file, "%s", XSTR (addr, 0));
5762 return;
5764 else if (GET_CODE (addr) == CONST
5765 && GET_CODE (XEXP (addr, 0)) == PLUS
5766 && GET_CODE (XEXP (XEXP (addr, 0), 0)) == SYMBOL_REF)
5768 fprintf (file, "%s+" HOST_WIDE_INT_PRINT_DEC,
5769 XSTR (XEXP (XEXP (addr, 0), 0), 0),
5770 INTVAL (XEXP (XEXP (addr, 0), 1)));
5771 return;
5773 #endif
5775 else
5776 abort ();
5778 fprintf (file, HOST_WIDE_INT_PRINT_DEC "($%d)", offset, basereg);
5781 /* Emit RTL insns to initialize the variable parts of a trampoline at
5782 TRAMP. FNADDR is an RTX for the address of the function's pure
5783 code. CXT is an RTX for the static chain value for the function.
5785 The three offset parameters are for the individual template's
5786 layout. A JMPOFS < 0 indicates that the trampoline does not
5787 contain instructions at all.
5789 We assume here that a function will be called many more times than
5790 its address is taken (e.g., it might be passed to qsort), so we
5791 take the trouble to initialize the "hint" field in the JMP insn.
5792 Note that the hint field is PC (new) + 4 * bits 13:0. */
5794 void
5795 alpha_initialize_trampoline (rtx tramp, rtx fnaddr, rtx cxt,
5796 int fnofs, int cxtofs, int jmpofs)
5798 rtx temp, temp1, addr;
5799 /* VMS really uses DImode pointers in memory at this point. */
5800 enum machine_mode mode = TARGET_ABI_OPEN_VMS ? Pmode : ptr_mode;
5802 #ifdef POINTERS_EXTEND_UNSIGNED
5803 fnaddr = convert_memory_address (mode, fnaddr);
5804 cxt = convert_memory_address (mode, cxt);
5805 #endif
5807 /* Store function address and CXT. */
5808 addr = memory_address (mode, plus_constant (tramp, fnofs));
5809 emit_move_insn (gen_rtx_MEM (mode, addr), fnaddr);
5810 addr = memory_address (mode, plus_constant (tramp, cxtofs));
5811 emit_move_insn (gen_rtx_MEM (mode, addr), cxt);
5813 /* This has been disabled since the hint only has a 32k range, and in
5814 no existing OS is the stack within 32k of the text segment. */
5815 if (0 && jmpofs >= 0)
5817 /* Compute hint value. */
5818 temp = force_operand (plus_constant (tramp, jmpofs+4), NULL_RTX);
5819 temp = expand_binop (DImode, sub_optab, fnaddr, temp, temp, 1,
5820 OPTAB_WIDEN);
5821 temp = expand_shift (RSHIFT_EXPR, Pmode, temp,
5822 build_int_2 (2, 0), NULL_RTX, 1);
5823 temp = expand_and (SImode, gen_lowpart (SImode, temp),
5824 GEN_INT (0x3fff), 0);
5826 /* Merge in the hint. */
5827 addr = memory_address (SImode, plus_constant (tramp, jmpofs));
5828 temp1 = force_reg (SImode, gen_rtx_MEM (SImode, addr));
5829 temp1 = expand_and (SImode, temp1, GEN_INT (0xffffc000), NULL_RTX);
5830 temp1 = expand_binop (SImode, ior_optab, temp1, temp, temp1, 1,
5831 OPTAB_WIDEN);
5832 emit_move_insn (gen_rtx_MEM (SImode, addr), temp1);
5835 #ifdef TRANSFER_FROM_TRAMPOLINE
5836 emit_library_call (init_one_libfunc ("__enable_execute_stack"),
5837 0, VOIDmode, 1, tramp, Pmode);
5838 #endif
5840 if (jmpofs >= 0)
5841 emit_insn (gen_imb ());
5844 /* Determine where to put an argument to a function.
5845 Value is zero to push the argument on the stack,
5846 or a hard register in which to store the argument.
5848 MODE is the argument's machine mode.
5849 TYPE is the data type of the argument (as a tree).
5850 This is null for libcalls where that information may
5851 not be available.
5852 CUM is a variable of type CUMULATIVE_ARGS which gives info about
5853 the preceding args and about the function being called.
5854 NAMED is nonzero if this argument is a named parameter
5855 (otherwise it is an extra parameter matching an ellipsis).
5857 On Alpha the first 6 words of args are normally in registers
5858 and the rest are pushed. */
5861 function_arg (CUMULATIVE_ARGS cum, enum machine_mode mode, tree type,
5862 int named ATTRIBUTE_UNUSED)
5864 int basereg;
5865 int num_args;
5867 /* Don't get confused and pass small structures in FP registers. */
5868 if (type && AGGREGATE_TYPE_P (type))
5869 basereg = 16;
5870 else
5872 #ifdef ENABLE_CHECKING
5873 /* With SPLIT_COMPLEX_ARGS, we shouldn't see any raw complex
5874 values here. */
5875 if (COMPLEX_MODE_P (mode))
5876 abort ();
5877 #endif
5879 /* Set up defaults for FP operands passed in FP registers, and
5880 integral operands passed in integer registers. */
5881 if (TARGET_FPREGS && GET_MODE_CLASS (mode) == MODE_FLOAT)
5882 basereg = 32 + 16;
5883 else
5884 basereg = 16;
5887 /* ??? Irritatingly, the definition of CUMULATIVE_ARGS is different for
5888 the three platforms, so we can't avoid conditional compilation. */
5889 #if TARGET_ABI_OPEN_VMS
5891 if (mode == VOIDmode)
5892 return alpha_arg_info_reg_val (cum);
5894 num_args = cum.num_args;
5895 if (num_args >= 6 || MUST_PASS_IN_STACK (mode, type))
5896 return NULL_RTX;
5898 #elif TARGET_ABI_UNICOSMK
5900 int size;
5902 /* If this is the last argument, generate the call info word (CIW). */
5903 /* ??? We don't include the caller's line number in the CIW because
5904 I don't know how to determine it if debug infos are turned off. */
5905 if (mode == VOIDmode)
5907 int i;
5908 HOST_WIDE_INT lo;
5909 HOST_WIDE_INT hi;
5910 rtx ciw;
5912 lo = 0;
5914 for (i = 0; i < cum.num_reg_words && i < 5; i++)
5915 if (cum.reg_args_type[i])
5916 lo |= (1 << (7 - i));
5918 if (cum.num_reg_words == 6 && cum.reg_args_type[5])
5919 lo |= 7;
5920 else
5921 lo |= cum.num_reg_words;
5923 #if HOST_BITS_PER_WIDE_INT == 32
5924 hi = (cum.num_args << 20) | cum.num_arg_words;
5925 #else
5926 lo = lo | ((HOST_WIDE_INT) cum.num_args << 52)
5927 | ((HOST_WIDE_INT) cum.num_arg_words << 32);
5928 hi = 0;
5929 #endif
5930 ciw = immed_double_const (lo, hi, DImode);
5932 return gen_rtx_UNSPEC (DImode, gen_rtvec (1, ciw),
5933 UNSPEC_UMK_LOAD_CIW);
5936 size = ALPHA_ARG_SIZE (mode, type, named);
5937 num_args = cum.num_reg_words;
5938 if (MUST_PASS_IN_STACK (mode, type)
5939 || cum.num_reg_words + size > 6 || cum.force_stack)
5940 return NULL_RTX;
5941 else if (type && TYPE_MODE (type) == BLKmode)
5943 rtx reg1, reg2;
5945 reg1 = gen_rtx_REG (DImode, num_args + 16);
5946 reg1 = gen_rtx_EXPR_LIST (DImode, reg1, const0_rtx);
5948 /* The argument fits in two registers. Note that we still need to
5949 reserve a register for empty structures. */
5950 if (size == 0)
5951 return NULL_RTX;
5952 else if (size == 1)
5953 return gen_rtx_PARALLEL (mode, gen_rtvec (1, reg1));
5954 else
5956 reg2 = gen_rtx_REG (DImode, num_args + 17);
5957 reg2 = gen_rtx_EXPR_LIST (DImode, reg2, GEN_INT (8));
5958 return gen_rtx_PARALLEL (mode, gen_rtvec (2, reg1, reg2));
5962 #elif TARGET_ABI_OSF
5964 if (cum >= 6)
5965 return NULL_RTX;
5966 num_args = cum;
5968 /* VOID is passed as a special flag for "last argument". */
5969 if (type == void_type_node)
5970 basereg = 16;
5971 else if (MUST_PASS_IN_STACK (mode, type))
5972 return NULL_RTX;
5973 else if (FUNCTION_ARG_PASS_BY_REFERENCE (cum, mode, type, named))
5974 basereg = 16;
5976 #else
5977 #error Unhandled ABI
5978 #endif
5980 return gen_rtx_REG (mode, num_args + basereg);
5983 /* Return true if TYPE must be returned in memory, instead of in registers. */
5985 bool
5986 return_in_memory (tree type, enum machine_mode mode)
5988 int size;
5990 if (type)
5992 mode = TYPE_MODE (type);
5994 /* All aggregates are returned in memory. */
5995 if (AGGREGATE_TYPE_P (type))
5996 return true;
5999 size = GET_MODE_SIZE (mode);
6000 switch (GET_MODE_CLASS (mode))
6002 case MODE_VECTOR_FLOAT:
6003 /* Pass all float vectors in memory, like an aggregate. */
6004 return true;
6006 case MODE_COMPLEX_FLOAT:
6007 /* We judge complex floats on the size of their element,
6008 not the size of the whole type. */
6009 size = GET_MODE_UNIT_SIZE (mode);
6010 break;
6012 case MODE_INT:
6013 case MODE_FLOAT:
6014 case MODE_COMPLEX_INT:
6015 case MODE_VECTOR_INT:
6016 break;
6018 default:
6019 /* ??? We get called on all sorts of random stuff from
6020 aggregate_value_p. We can't abort, but it's not clear
6021 what's safe to return. Pretend it's a struct I guess. */
6022 return true;
6025 /* Otherwise types must fit in one register. */
6026 return size > UNITS_PER_WORD;
6029 /* Define how to find the value returned by a function. VALTYPE is the
6030 data type of the value (as a tree). If the precise function being
6031 called is known, FUNC is its FUNCTION_DECL; otherwise, FUNC is 0.
6032 MODE is set instead of VALTYPE for libcalls.
6034 On Alpha the value is found in $0 for integer functions and
6035 $f0 for floating-point functions. */
6038 function_value (tree valtype, tree func ATTRIBUTE_UNUSED,
6039 enum machine_mode mode)
6041 unsigned int regnum;
6042 enum mode_class class;
6044 #ifdef ENABLE_CHECKING
6045 if (return_in_memory (valtype, mode))
6046 abort ();
6047 #endif
6049 if (valtype)
6050 mode = TYPE_MODE (valtype);
6052 class = GET_MODE_CLASS (mode);
6053 switch (class)
6055 case MODE_INT:
6056 /* Do the same thing as PROMOTE_MODE. */
6057 mode = DImode;
6058 /* FALLTHRU */
6060 case MODE_COMPLEX_INT:
6061 case MODE_VECTOR_INT:
6062 regnum = 0;
6063 break;
6065 case MODE_FLOAT:
6066 regnum = 32;
6067 break;
6069 case MODE_COMPLEX_FLOAT:
6071 enum machine_mode cmode = GET_MODE_INNER (mode);
6073 return gen_rtx_PARALLEL
6074 (VOIDmode,
6075 gen_rtvec (2,
6076 gen_rtx_EXPR_LIST (VOIDmode, gen_rtx_REG (cmode, 32),
6077 GEN_INT (0)),
6078 gen_rtx_EXPR_LIST (VOIDmode, gen_rtx_REG (cmode, 33),
6079 GEN_INT (GET_MODE_SIZE (cmode)))));
6082 default:
6083 abort ();
6086 return gen_rtx_REG (mode, regnum);
6089 tree
6090 alpha_build_va_list (void)
6092 tree base, ofs, record, type_decl;
6094 if (TARGET_ABI_OPEN_VMS || TARGET_ABI_UNICOSMK)
6095 return ptr_type_node;
6097 record = (*lang_hooks.types.make_type) (RECORD_TYPE);
6098 type_decl = build_decl (TYPE_DECL, get_identifier ("__va_list_tag"), record);
6099 TREE_CHAIN (record) = type_decl;
6100 TYPE_NAME (record) = type_decl;
6102 /* C++? SET_IS_AGGR_TYPE (record, 1); */
6104 ofs = build_decl (FIELD_DECL, get_identifier ("__offset"),
6105 integer_type_node);
6106 DECL_FIELD_CONTEXT (ofs) = record;
6108 base = build_decl (FIELD_DECL, get_identifier ("__base"),
6109 ptr_type_node);
6110 DECL_FIELD_CONTEXT (base) = record;
6111 TREE_CHAIN (base) = ofs;
6113 TYPE_FIELDS (record) = base;
6114 layout_type (record);
6116 return record;
6119 /* Perform any needed actions needed for a function that is receiving a
6120 variable number of arguments.
6122 On the Alpha, we allocate space for all 12 arg registers, but only
6123 push those that are remaining. However, if NO registers need to be
6124 saved, don't allocate any space. This is not only because we won't
6125 need the space, but because AP includes the current_pretend_args_size
6126 and we don't want to mess up any ap-relative addresses already made.
6128 If we are not to use the floating-point registers, save the integer
6129 registers where we would put the floating-point registers. This is
6130 not the most efficient way to implement varargs with just one register
6131 class, but it isn't worth doing anything more efficient in this rare
6132 case. */
6134 #if TARGET_ABI_OSF
6135 void
6136 alpha_setup_incoming_varargs(CUMULATIVE_ARGS cum,
6137 enum machine_mode mode ATTRIBUTE_UNUSED,
6138 tree type ATTRIBUTE_UNUSED,
6139 int *pretend_size, int no_rtl)
6141 if (cum >= 6)
6142 return;
6144 if (!no_rtl)
6146 int set = get_varargs_alias_set ();
6147 rtx tmp;
6149 tmp = gen_rtx_MEM (BLKmode,
6150 plus_constant (virtual_incoming_args_rtx,
6151 (cum + 6) * UNITS_PER_WORD));
6152 set_mem_alias_set (tmp, set);
6153 move_block_from_reg (16 + cum, tmp, 6 - cum);
6155 tmp = gen_rtx_MEM (BLKmode,
6156 plus_constant (virtual_incoming_args_rtx,
6157 cum * UNITS_PER_WORD));
6158 set_mem_alias_set (tmp, set);
6159 move_block_from_reg (16 + (TARGET_FPREGS ? 32 : 0) + cum, tmp,
6160 6 - cum);
6162 *pretend_size = 12 * UNITS_PER_WORD;
6164 #endif
6166 void
6167 alpha_va_start (tree valist, rtx nextarg ATTRIBUTE_UNUSED)
6169 HOST_WIDE_INT offset;
6170 tree t, offset_field, base_field;
6172 if (TREE_CODE (TREE_TYPE (valist)) == ERROR_MARK)
6173 return;
6175 if (TARGET_ABI_UNICOSMK)
6176 std_expand_builtin_va_start (valist, nextarg);
6178 /* For Unix, SETUP_INCOMING_VARARGS moves the starting address base
6179 up by 48, storing fp arg registers in the first 48 bytes, and the
6180 integer arg registers in the next 48 bytes. This is only done,
6181 however, if any integer registers need to be stored.
6183 If no integer registers need be stored, then we must subtract 48
6184 in order to account for the integer arg registers which are counted
6185 in argsize above, but which are not actually stored on the stack.
6186 Must further be careful here about structures straddling the last
6187 integer argument register; that futzes with pretend_args_size,
6188 which changes the meaning of AP. */
6190 if (NUM_ARGS <= 6)
6191 offset = TARGET_ABI_OPEN_VMS ? UNITS_PER_WORD : 6 * UNITS_PER_WORD;
6192 else
6193 offset = -6 * UNITS_PER_WORD + current_function_pretend_args_size;
6195 if (TARGET_ABI_OPEN_VMS)
6197 nextarg = plus_constant (nextarg, offset);
6198 nextarg = plus_constant (nextarg, NUM_ARGS * UNITS_PER_WORD);
6199 t = build (MODIFY_EXPR, TREE_TYPE (valist), valist,
6200 make_tree (ptr_type_node, nextarg));
6201 TREE_SIDE_EFFECTS (t) = 1;
6203 expand_expr (t, const0_rtx, VOIDmode, EXPAND_NORMAL);
6205 else
6207 base_field = TYPE_FIELDS (TREE_TYPE (valist));
6208 offset_field = TREE_CHAIN (base_field);
6210 base_field = build (COMPONENT_REF, TREE_TYPE (base_field),
6211 valist, base_field);
6212 offset_field = build (COMPONENT_REF, TREE_TYPE (offset_field),
6213 valist, offset_field);
6215 t = make_tree (ptr_type_node, virtual_incoming_args_rtx);
6216 t = build (PLUS_EXPR, ptr_type_node, t, build_int_2 (offset, 0));
6217 t = build (MODIFY_EXPR, TREE_TYPE (base_field), base_field, t);
6218 TREE_SIDE_EFFECTS (t) = 1;
6219 expand_expr (t, const0_rtx, VOIDmode, EXPAND_NORMAL);
6221 t = build_int_2 (NUM_ARGS * UNITS_PER_WORD, 0);
6222 t = build (MODIFY_EXPR, TREE_TYPE (offset_field), offset_field, t);
6223 TREE_SIDE_EFFECTS (t) = 1;
6224 expand_expr (t, const0_rtx, VOIDmode, EXPAND_NORMAL);
6229 alpha_va_arg (tree valist, tree type)
6231 rtx addr;
6232 tree t, type_size, rounded_size;
6233 tree offset_field, base_field, addr_tree, addend;
6234 tree wide_type, wide_ofs;
6235 int indirect = 0;
6237 if (TARGET_ABI_OPEN_VMS || TARGET_ABI_UNICOSMK)
6238 return std_expand_builtin_va_arg (valist, type);
6240 if (type == error_mark_node
6241 || (type_size = TYPE_SIZE_UNIT (TYPE_MAIN_VARIANT (type))) == NULL
6242 || TREE_OVERFLOW (type_size))
6243 rounded_size = size_zero_node;
6244 else
6245 rounded_size = fold (build (MULT_EXPR, sizetype,
6246 fold (build (TRUNC_DIV_EXPR, sizetype,
6247 fold (build (PLUS_EXPR, sizetype,
6248 type_size,
6249 size_int (7))),
6250 size_int (8))),
6251 size_int (8)));
6253 base_field = TYPE_FIELDS (TREE_TYPE (valist));
6254 offset_field = TREE_CHAIN (base_field);
6256 base_field = build (COMPONENT_REF, TREE_TYPE (base_field),
6257 valist, base_field);
6258 offset_field = build (COMPONENT_REF, TREE_TYPE (offset_field),
6259 valist, offset_field);
6261 /* If the type could not be passed in registers, skip the block
6262 reserved for the registers. */
6263 if (MUST_PASS_IN_STACK (TYPE_MODE (type), type))
6265 t = build (MODIFY_EXPR, TREE_TYPE (offset_field), offset_field,
6266 build (MAX_EXPR, TREE_TYPE (offset_field),
6267 offset_field, build_int_2 (6*8, 0)));
6268 TREE_SIDE_EFFECTS (t) = 1;
6269 expand_expr (t, const0_rtx, VOIDmode, EXPAND_NORMAL);
6272 wide_type = make_signed_type (64);
6273 wide_ofs = save_expr (build1 (CONVERT_EXPR, wide_type, offset_field));
6275 addend = wide_ofs;
6277 if (TYPE_MODE (type) == TFmode || TYPE_MODE (type) == TCmode)
6279 indirect = 1;
6280 rounded_size = size_int (UNITS_PER_WORD);
6282 else if (TREE_CODE (type) == COMPLEX_TYPE)
6284 rtx real_part, imag_part, value, tmp;
6286 real_part = alpha_va_arg (valist, TREE_TYPE (type));
6287 imag_part = alpha_va_arg (valist, TREE_TYPE (type));
6289 /* ??? Most irritatingly, we're not returning the value here,
6290 but the address. Since real_part and imag_part are not
6291 necessarily contiguous, we must copy to local storage. */
6293 real_part = gen_rtx_MEM (TYPE_MODE (TREE_TYPE (type)), real_part);
6294 imag_part = gen_rtx_MEM (TYPE_MODE (TREE_TYPE (type)), imag_part);
6295 value = gen_rtx_CONCAT (TYPE_MODE (type), real_part, imag_part);
6297 tmp = assign_temp (type, 0, 1, 0);
6298 emit_move_insn (tmp, value);
6300 return XEXP (tmp, 0);
6302 else if (TREE_CODE (type) == REAL_TYPE)
6304 tree fpaddend, cond;
6306 fpaddend = fold (build (PLUS_EXPR, TREE_TYPE (addend),
6307 addend, build_int_2 (-6*8, 0)));
6309 cond = fold (build (LT_EXPR, integer_type_node,
6310 wide_ofs, build_int_2 (6*8, 0)));
6312 addend = fold (build (COND_EXPR, TREE_TYPE (addend), cond,
6313 fpaddend, addend));
6316 addr_tree = build (PLUS_EXPR, TREE_TYPE (base_field),
6317 base_field, addend);
6319 addr = expand_expr (addr_tree, NULL_RTX, Pmode, EXPAND_NORMAL);
6320 addr = copy_to_reg (addr);
6322 t = build (MODIFY_EXPR, TREE_TYPE (offset_field), offset_field,
6323 build (PLUS_EXPR, TREE_TYPE (offset_field),
6324 offset_field, rounded_size));
6325 TREE_SIDE_EFFECTS (t) = 1;
6326 expand_expr (t, const0_rtx, VOIDmode, EXPAND_NORMAL);
6328 if (indirect)
6330 addr = force_reg (Pmode, addr);
6331 addr = gen_rtx_MEM (Pmode, addr);
6334 return addr;
6337 /* Builtins. */
6339 enum alpha_builtin
6341 ALPHA_BUILTIN_CMPBGE,
6342 ALPHA_BUILTIN_EXTBL,
6343 ALPHA_BUILTIN_EXTWL,
6344 ALPHA_BUILTIN_EXTLL,
6345 ALPHA_BUILTIN_EXTQL,
6346 ALPHA_BUILTIN_EXTWH,
6347 ALPHA_BUILTIN_EXTLH,
6348 ALPHA_BUILTIN_EXTQH,
6349 ALPHA_BUILTIN_INSBL,
6350 ALPHA_BUILTIN_INSWL,
6351 ALPHA_BUILTIN_INSLL,
6352 ALPHA_BUILTIN_INSQL,
6353 ALPHA_BUILTIN_INSWH,
6354 ALPHA_BUILTIN_INSLH,
6355 ALPHA_BUILTIN_INSQH,
6356 ALPHA_BUILTIN_MSKBL,
6357 ALPHA_BUILTIN_MSKWL,
6358 ALPHA_BUILTIN_MSKLL,
6359 ALPHA_BUILTIN_MSKQL,
6360 ALPHA_BUILTIN_MSKWH,
6361 ALPHA_BUILTIN_MSKLH,
6362 ALPHA_BUILTIN_MSKQH,
6363 ALPHA_BUILTIN_UMULH,
6364 ALPHA_BUILTIN_ZAP,
6365 ALPHA_BUILTIN_ZAPNOT,
6366 ALPHA_BUILTIN_AMASK,
6367 ALPHA_BUILTIN_IMPLVER,
6368 ALPHA_BUILTIN_RPCC,
6369 ALPHA_BUILTIN_THREAD_POINTER,
6370 ALPHA_BUILTIN_SET_THREAD_POINTER,
6372 /* TARGET_MAX */
6373 ALPHA_BUILTIN_MINUB8,
6374 ALPHA_BUILTIN_MINSB8,
6375 ALPHA_BUILTIN_MINUW4,
6376 ALPHA_BUILTIN_MINSW4,
6377 ALPHA_BUILTIN_MAXUB8,
6378 ALPHA_BUILTIN_MAXSB8,
6379 ALPHA_BUILTIN_MAXUW4,
6380 ALPHA_BUILTIN_MAXSW4,
6381 ALPHA_BUILTIN_PERR,
6382 ALPHA_BUILTIN_PKLB,
6383 ALPHA_BUILTIN_PKWB,
6384 ALPHA_BUILTIN_UNPKBL,
6385 ALPHA_BUILTIN_UNPKBW,
6387 /* TARGET_CIX */
6388 ALPHA_BUILTIN_CTTZ,
6389 ALPHA_BUILTIN_CTLZ,
6390 ALPHA_BUILTIN_CTPOP,
6392 ALPHA_BUILTIN_max
6395 static unsigned int const code_for_builtin[ALPHA_BUILTIN_max] = {
6396 CODE_FOR_builtin_cmpbge,
6397 CODE_FOR_builtin_extbl,
6398 CODE_FOR_builtin_extwl,
6399 CODE_FOR_builtin_extll,
6400 CODE_FOR_builtin_extql,
6401 CODE_FOR_builtin_extwh,
6402 CODE_FOR_builtin_extlh,
6403 CODE_FOR_builtin_extqh,
6404 CODE_FOR_builtin_insbl,
6405 CODE_FOR_builtin_inswl,
6406 CODE_FOR_builtin_insll,
6407 CODE_FOR_builtin_insql,
6408 CODE_FOR_builtin_inswh,
6409 CODE_FOR_builtin_inslh,
6410 CODE_FOR_builtin_insqh,
6411 CODE_FOR_builtin_mskbl,
6412 CODE_FOR_builtin_mskwl,
6413 CODE_FOR_builtin_mskll,
6414 CODE_FOR_builtin_mskql,
6415 CODE_FOR_builtin_mskwh,
6416 CODE_FOR_builtin_msklh,
6417 CODE_FOR_builtin_mskqh,
6418 CODE_FOR_umuldi3_highpart,
6419 CODE_FOR_builtin_zap,
6420 CODE_FOR_builtin_zapnot,
6421 CODE_FOR_builtin_amask,
6422 CODE_FOR_builtin_implver,
6423 CODE_FOR_builtin_rpcc,
6424 CODE_FOR_load_tp,
6425 CODE_FOR_set_tp,
6427 /* TARGET_MAX */
6428 CODE_FOR_builtin_minub8,
6429 CODE_FOR_builtin_minsb8,
6430 CODE_FOR_builtin_minuw4,
6431 CODE_FOR_builtin_minsw4,
6432 CODE_FOR_builtin_maxub8,
6433 CODE_FOR_builtin_maxsb8,
6434 CODE_FOR_builtin_maxuw4,
6435 CODE_FOR_builtin_maxsw4,
6436 CODE_FOR_builtin_perr,
6437 CODE_FOR_builtin_pklb,
6438 CODE_FOR_builtin_pkwb,
6439 CODE_FOR_builtin_unpkbl,
6440 CODE_FOR_builtin_unpkbw,
6442 /* TARGET_CIX */
6443 CODE_FOR_builtin_cttz,
6444 CODE_FOR_builtin_ctlz,
6445 CODE_FOR_builtin_ctpop
6448 struct alpha_builtin_def
6450 const char *name;
6451 enum alpha_builtin code;
6452 unsigned int target_mask;
6455 static struct alpha_builtin_def const zero_arg_builtins[] = {
6456 { "__builtin_alpha_implver", ALPHA_BUILTIN_IMPLVER, 0 },
6457 { "__builtin_alpha_rpcc", ALPHA_BUILTIN_RPCC, 0 }
6460 static struct alpha_builtin_def const one_arg_builtins[] = {
6461 { "__builtin_alpha_amask", ALPHA_BUILTIN_AMASK, 0 },
6462 { "__builtin_alpha_pklb", ALPHA_BUILTIN_PKLB, MASK_MAX },
6463 { "__builtin_alpha_pkwb", ALPHA_BUILTIN_PKWB, MASK_MAX },
6464 { "__builtin_alpha_unpkbl", ALPHA_BUILTIN_UNPKBL, MASK_MAX },
6465 { "__builtin_alpha_unpkbw", ALPHA_BUILTIN_UNPKBW, MASK_MAX },
6466 { "__builtin_alpha_cttz", ALPHA_BUILTIN_CTTZ, MASK_CIX },
6467 { "__builtin_alpha_ctlz", ALPHA_BUILTIN_CTLZ, MASK_CIX },
6468 { "__builtin_alpha_ctpop", ALPHA_BUILTIN_CTPOP, MASK_CIX }
6471 static struct alpha_builtin_def const two_arg_builtins[] = {
6472 { "__builtin_alpha_cmpbge", ALPHA_BUILTIN_CMPBGE, 0 },
6473 { "__builtin_alpha_extbl", ALPHA_BUILTIN_EXTBL, 0 },
6474 { "__builtin_alpha_extwl", ALPHA_BUILTIN_EXTWL, 0 },
6475 { "__builtin_alpha_extll", ALPHA_BUILTIN_EXTLL, 0 },
6476 { "__builtin_alpha_extql", ALPHA_BUILTIN_EXTQL, 0 },
6477 { "__builtin_alpha_extwh", ALPHA_BUILTIN_EXTWH, 0 },
6478 { "__builtin_alpha_extlh", ALPHA_BUILTIN_EXTLH, 0 },
6479 { "__builtin_alpha_extqh", ALPHA_BUILTIN_EXTQH, 0 },
6480 { "__builtin_alpha_insbl", ALPHA_BUILTIN_INSBL, 0 },
6481 { "__builtin_alpha_inswl", ALPHA_BUILTIN_INSWL, 0 },
6482 { "__builtin_alpha_insll", ALPHA_BUILTIN_INSLL, 0 },
6483 { "__builtin_alpha_insql", ALPHA_BUILTIN_INSQL, 0 },
6484 { "__builtin_alpha_inswh", ALPHA_BUILTIN_INSWH, 0 },
6485 { "__builtin_alpha_inslh", ALPHA_BUILTIN_INSLH, 0 },
6486 { "__builtin_alpha_insqh", ALPHA_BUILTIN_INSQH, 0 },
6487 { "__builtin_alpha_mskbl", ALPHA_BUILTIN_MSKBL, 0 },
6488 { "__builtin_alpha_mskwl", ALPHA_BUILTIN_MSKWL, 0 },
6489 { "__builtin_alpha_mskll", ALPHA_BUILTIN_MSKLL, 0 },
6490 { "__builtin_alpha_mskql", ALPHA_BUILTIN_MSKQL, 0 },
6491 { "__builtin_alpha_mskwh", ALPHA_BUILTIN_MSKWH, 0 },
6492 { "__builtin_alpha_msklh", ALPHA_BUILTIN_MSKLH, 0 },
6493 { "__builtin_alpha_mskqh", ALPHA_BUILTIN_MSKQH, 0 },
6494 { "__builtin_alpha_umulh", ALPHA_BUILTIN_UMULH, 0 },
6495 { "__builtin_alpha_zap", ALPHA_BUILTIN_ZAP, 0 },
6496 { "__builtin_alpha_zapnot", ALPHA_BUILTIN_ZAPNOT, 0 },
6497 { "__builtin_alpha_minub8", ALPHA_BUILTIN_MINUB8, MASK_MAX },
6498 { "__builtin_alpha_minsb8", ALPHA_BUILTIN_MINSB8, MASK_MAX },
6499 { "__builtin_alpha_minuw4", ALPHA_BUILTIN_MINUW4, MASK_MAX },
6500 { "__builtin_alpha_minsw4", ALPHA_BUILTIN_MINSW4, MASK_MAX },
6501 { "__builtin_alpha_maxub8", ALPHA_BUILTIN_MAXUB8, MASK_MAX },
6502 { "__builtin_alpha_maxsb8", ALPHA_BUILTIN_MAXSB8, MASK_MAX },
6503 { "__builtin_alpha_maxuw4", ALPHA_BUILTIN_MAXUW4, MASK_MAX },
6504 { "__builtin_alpha_maxsw4", ALPHA_BUILTIN_MAXSW4, MASK_MAX },
6505 { "__builtin_alpha_perr", ALPHA_BUILTIN_PERR, MASK_MAX }
6508 static void
6509 alpha_init_builtins (void)
6511 const struct alpha_builtin_def *p;
6512 tree ftype;
6513 size_t i;
6515 ftype = build_function_type (long_integer_type_node, void_list_node);
6517 p = zero_arg_builtins;
6518 for (i = 0; i < ARRAY_SIZE (zero_arg_builtins); ++i, ++p)
6519 if ((target_flags & p->target_mask) == p->target_mask)
6520 builtin_function (p->name, ftype, p->code, BUILT_IN_MD,
6521 NULL, NULL_TREE);
6523 ftype = build_function_type_list (long_integer_type_node,
6524 long_integer_type_node, NULL_TREE);
6526 p = one_arg_builtins;
6527 for (i = 0; i < ARRAY_SIZE (one_arg_builtins); ++i, ++p)
6528 if ((target_flags & p->target_mask) == p->target_mask)
6529 builtin_function (p->name, ftype, p->code, BUILT_IN_MD,
6530 NULL, NULL_TREE);
6532 ftype = build_function_type_list (long_integer_type_node,
6533 long_integer_type_node,
6534 long_integer_type_node, NULL_TREE);
6536 p = two_arg_builtins;
6537 for (i = 0; i < ARRAY_SIZE (two_arg_builtins); ++i, ++p)
6538 if ((target_flags & p->target_mask) == p->target_mask)
6539 builtin_function (p->name, ftype, p->code, BUILT_IN_MD,
6540 NULL, NULL_TREE);
6542 ftype = build_function_type (ptr_type_node, void_list_node);
6543 builtin_function ("__builtin_thread_pointer", ftype,
6544 ALPHA_BUILTIN_THREAD_POINTER, BUILT_IN_MD,
6545 NULL, NULL_TREE);
6547 ftype = build_function_type_list (void_type_node, ptr_type_node, NULL_TREE);
6548 builtin_function ("__builtin_set_thread_pointer", ftype,
6549 ALPHA_BUILTIN_SET_THREAD_POINTER, BUILT_IN_MD,
6550 NULL, NULL_TREE);
6553 /* Expand an expression EXP that calls a built-in function,
6554 with result going to TARGET if that's convenient
6555 (and in mode MODE if that's convenient).
6556 SUBTARGET may be used as the target for computing one of EXP's operands.
6557 IGNORE is nonzero if the value is to be ignored. */
6559 static rtx
6560 alpha_expand_builtin (tree exp, rtx target,
6561 rtx subtarget ATTRIBUTE_UNUSED,
6562 enum machine_mode mode ATTRIBUTE_UNUSED,
6563 int ignore ATTRIBUTE_UNUSED)
6565 #define MAX_ARGS 2
6567 tree fndecl = TREE_OPERAND (TREE_OPERAND (exp, 0), 0);
6568 unsigned int fcode = DECL_FUNCTION_CODE (fndecl);
6569 tree arglist = TREE_OPERAND (exp, 1);
6570 enum insn_code icode;
6571 rtx op[MAX_ARGS], pat;
6572 int arity;
6573 bool nonvoid;
6575 if (fcode >= ALPHA_BUILTIN_max)
6576 internal_error ("bad builtin fcode");
6577 icode = code_for_builtin[fcode];
6578 if (icode == 0)
6579 internal_error ("bad builtin fcode");
6581 nonvoid = TREE_TYPE (TREE_TYPE (fndecl)) != void_type_node;
6583 for (arglist = TREE_OPERAND (exp, 1), arity = 0;
6584 arglist;
6585 arglist = TREE_CHAIN (arglist), arity++)
6587 const struct insn_operand_data *insn_op;
6589 tree arg = TREE_VALUE (arglist);
6590 if (arg == error_mark_node)
6591 return NULL_RTX;
6592 if (arity > MAX_ARGS)
6593 return NULL_RTX;
6595 insn_op = &insn_data[icode].operand[arity + nonvoid];
6597 op[arity] = expand_expr (arg, NULL_RTX, insn_op->mode, 0);
6599 if (!(*insn_op->predicate) (op[arity], insn_op->mode))
6600 op[arity] = copy_to_mode_reg (insn_op->mode, op[arity]);
6603 if (nonvoid)
6605 enum machine_mode tmode = insn_data[icode].operand[0].mode;
6606 if (!target
6607 || GET_MODE (target) != tmode
6608 || !(*insn_data[icode].operand[0].predicate) (target, tmode))
6609 target = gen_reg_rtx (tmode);
6612 switch (arity)
6614 case 0:
6615 pat = GEN_FCN (icode) (target);
6616 break;
6617 case 1:
6618 if (nonvoid)
6619 pat = GEN_FCN (icode) (target, op[0]);
6620 else
6621 pat = GEN_FCN (icode) (op[0]);
6622 break;
6623 case 2:
6624 pat = GEN_FCN (icode) (target, op[0], op[1]);
6625 break;
6626 default:
6627 abort ();
6629 if (!pat)
6630 return NULL_RTX;
6631 emit_insn (pat);
6633 if (nonvoid)
6634 return target;
6635 else
6636 return const0_rtx;
6639 /* This page contains routines that are used to determine what the function
6640 prologue and epilogue code will do and write them out. */
6642 /* Compute the size of the save area in the stack. */
6644 /* These variables are used for communication between the following functions.
6645 They indicate various things about the current function being compiled
6646 that are used to tell what kind of prologue, epilogue and procedure
6647 descriptior to generate. */
6649 /* Nonzero if we need a stack procedure. */
6650 enum alpha_procedure_types {PT_NULL = 0, PT_REGISTER = 1, PT_STACK = 2};
6651 static enum alpha_procedure_types alpha_procedure_type;
6653 /* Register number (either FP or SP) that is used to unwind the frame. */
6654 static int vms_unwind_regno;
6656 /* Register number used to save FP. We need not have one for RA since
6657 we don't modify it for register procedures. This is only defined
6658 for register frame procedures. */
6659 static int vms_save_fp_regno;
6661 /* Register number used to reference objects off our PV. */
6662 static int vms_base_regno;
6664 /* Compute register masks for saved registers. */
6666 static void
6667 alpha_sa_mask (unsigned long *imaskP, unsigned long *fmaskP)
6669 unsigned long imask = 0;
6670 unsigned long fmask = 0;
6671 unsigned int i;
6673 /* Irritatingly, there are two kinds of thunks -- those created with
6674 TARGET_ASM_OUTPUT_MI_THUNK and those with DECL_THUNK_P that go
6675 through the regular part of the compiler. In the
6676 TARGET_ASM_OUTPUT_MI_THUNK case we don't have valid register life
6677 info, but assemble_start_function wants to output .frame and
6678 .mask directives. */
6679 if (current_function_is_thunk && !no_new_pseudos)
6681 *imaskP = 0;
6682 *fmaskP = 0;
6683 return;
6686 if (TARGET_ABI_OPEN_VMS && alpha_procedure_type == PT_STACK)
6687 imask |= (1UL << HARD_FRAME_POINTER_REGNUM);
6689 /* One for every register we have to save. */
6690 for (i = 0; i < FIRST_PSEUDO_REGISTER; i++)
6691 if (! fixed_regs[i] && ! call_used_regs[i]
6692 && regs_ever_live[i] && i != REG_RA
6693 && (!TARGET_ABI_UNICOSMK || i != HARD_FRAME_POINTER_REGNUM))
6695 if (i < 32)
6696 imask |= (1UL << i);
6697 else
6698 fmask |= (1UL << (i - 32));
6701 /* We need to restore these for the handler. */
6702 if (current_function_calls_eh_return)
6703 for (i = 0; ; ++i)
6705 unsigned regno = EH_RETURN_DATA_REGNO (i);
6706 if (regno == INVALID_REGNUM)
6707 break;
6708 imask |= 1UL << regno;
6711 /* If any register spilled, then spill the return address also. */
6712 /* ??? This is required by the Digital stack unwind specification
6713 and isn't needed if we're doing Dwarf2 unwinding. */
6714 if (imask || fmask || alpha_ra_ever_killed ())
6715 imask |= (1UL << REG_RA);
6717 *imaskP = imask;
6718 *fmaskP = fmask;
6722 alpha_sa_size (void)
6724 unsigned long mask[2];
6725 int sa_size = 0;
6726 int i, j;
6728 alpha_sa_mask (&mask[0], &mask[1]);
6730 if (TARGET_ABI_UNICOSMK)
6732 if (mask[0] || mask[1])
6733 sa_size = 14;
6735 else
6737 for (j = 0; j < 2; ++j)
6738 for (i = 0; i < 32; ++i)
6739 if ((mask[j] >> i) & 1)
6740 sa_size++;
6743 if (TARGET_ABI_UNICOSMK)
6745 /* We might not need to generate a frame if we don't make any calls
6746 (including calls to __T3E_MISMATCH if this is a vararg function),
6747 don't have any local variables which require stack slots, don't
6748 use alloca and have not determined that we need a frame for other
6749 reasons. */
6751 alpha_procedure_type
6752 = (sa_size || get_frame_size() != 0
6753 || current_function_outgoing_args_size
6754 || current_function_stdarg || current_function_calls_alloca
6755 || frame_pointer_needed)
6756 ? PT_STACK : PT_REGISTER;
6758 /* Always reserve space for saving callee-saved registers if we
6759 need a frame as required by the calling convention. */
6760 if (alpha_procedure_type == PT_STACK)
6761 sa_size = 14;
6763 else if (TARGET_ABI_OPEN_VMS)
6765 /* Start by assuming we can use a register procedure if we don't
6766 make any calls (REG_RA not used) or need to save any
6767 registers and a stack procedure if we do. */
6768 if ((mask[0] >> REG_RA) & 1)
6769 alpha_procedure_type = PT_STACK;
6770 else if (get_frame_size() != 0)
6771 alpha_procedure_type = PT_REGISTER;
6772 else
6773 alpha_procedure_type = PT_NULL;
6775 /* Don't reserve space for saving FP & RA yet. Do that later after we've
6776 made the final decision on stack procedure vs register procedure. */
6777 if (alpha_procedure_type == PT_STACK)
6778 sa_size -= 2;
6780 /* Decide whether to refer to objects off our PV via FP or PV.
6781 If we need FP for something else or if we receive a nonlocal
6782 goto (which expects PV to contain the value), we must use PV.
6783 Otherwise, start by assuming we can use FP. */
6785 vms_base_regno
6786 = (frame_pointer_needed
6787 || current_function_has_nonlocal_label
6788 || alpha_procedure_type == PT_STACK
6789 || current_function_outgoing_args_size)
6790 ? REG_PV : HARD_FRAME_POINTER_REGNUM;
6792 /* If we want to copy PV into FP, we need to find some register
6793 in which to save FP. */
6795 vms_save_fp_regno = -1;
6796 if (vms_base_regno == HARD_FRAME_POINTER_REGNUM)
6797 for (i = 0; i < 32; i++)
6798 if (! fixed_regs[i] && call_used_regs[i] && ! regs_ever_live[i])
6799 vms_save_fp_regno = i;
6801 if (vms_save_fp_regno == -1 && alpha_procedure_type == PT_REGISTER)
6802 vms_base_regno = REG_PV, alpha_procedure_type = PT_STACK;
6803 else if (alpha_procedure_type == PT_NULL)
6804 vms_base_regno = REG_PV;
6806 /* Stack unwinding should be done via FP unless we use it for PV. */
6807 vms_unwind_regno = (vms_base_regno == REG_PV
6808 ? HARD_FRAME_POINTER_REGNUM : STACK_POINTER_REGNUM);
6810 /* If this is a stack procedure, allow space for saving FP and RA. */
6811 if (alpha_procedure_type == PT_STACK)
6812 sa_size += 2;
6814 else
6816 /* Our size must be even (multiple of 16 bytes). */
6817 if (sa_size & 1)
6818 sa_size++;
6821 return sa_size * 8;
6824 /* Define the offset between two registers, one to be eliminated,
6825 and the other its replacement, at the start of a routine. */
6827 HOST_WIDE_INT
6828 alpha_initial_elimination_offset (unsigned int from,
6829 unsigned int to ATTRIBUTE_UNUSED)
6831 HOST_WIDE_INT ret;
6833 ret = alpha_sa_size ();
6834 ret += ALPHA_ROUND (current_function_outgoing_args_size);
6836 if (from == FRAME_POINTER_REGNUM)
6838 else if (from == ARG_POINTER_REGNUM)
6839 ret += (ALPHA_ROUND (get_frame_size ()
6840 + current_function_pretend_args_size)
6841 - current_function_pretend_args_size);
6842 else
6843 abort ();
6845 return ret;
6849 alpha_pv_save_size (void)
6851 alpha_sa_size ();
6852 return alpha_procedure_type == PT_STACK ? 8 : 0;
6856 alpha_using_fp (void)
6858 alpha_sa_size ();
6859 return vms_unwind_regno == HARD_FRAME_POINTER_REGNUM;
6862 #if TARGET_ABI_OPEN_VMS
6864 const struct attribute_spec vms_attribute_table[] =
6866 /* { name, min_len, max_len, decl_req, type_req, fn_type_req, handler } */
6867 { "overlaid", 0, 0, true, false, false, NULL },
6868 { "global", 0, 0, true, false, false, NULL },
6869 { "initialize", 0, 0, true, false, false, NULL },
6870 { NULL, 0, 0, false, false, false, NULL }
6873 #endif
6875 static int
6876 find_lo_sum_using_gp (rtx *px, void *data ATTRIBUTE_UNUSED)
6878 return GET_CODE (*px) == LO_SUM && XEXP (*px, 0) == pic_offset_table_rtx;
6882 alpha_find_lo_sum_using_gp (rtx insn)
6884 return for_each_rtx (&PATTERN (insn), find_lo_sum_using_gp, NULL) > 0;
6887 static int
6888 alpha_does_function_need_gp (void)
6890 rtx insn;
6892 /* The GP being variable is an OSF abi thing. */
6893 if (! TARGET_ABI_OSF)
6894 return 0;
6896 if (TARGET_PROFILING_NEEDS_GP && current_function_profile)
6897 return 1;
6899 if (current_function_is_thunk)
6900 return 1;
6902 /* If we need a GP (we have a LDSYM insn or a CALL_INSN), load it first.
6903 Even if we are a static function, we still need to do this in case
6904 our address is taken and passed to something like qsort. */
6906 push_topmost_sequence ();
6907 insn = get_insns ();
6908 pop_topmost_sequence ();
6910 for (; insn; insn = NEXT_INSN (insn))
6911 if (INSN_P (insn)
6912 && GET_CODE (PATTERN (insn)) != USE
6913 && GET_CODE (PATTERN (insn)) != CLOBBER
6914 && get_attr_usegp (insn))
6915 return 1;
6917 return 0;
6921 /* Helper function to set RTX_FRAME_RELATED_P on instructions, including
6922 sequences. */
6924 static rtx
6925 set_frame_related_p (void)
6927 rtx seq = get_insns ();
6928 rtx insn;
6930 end_sequence ();
6932 if (!seq)
6933 return NULL_RTX;
6935 if (INSN_P (seq))
6937 insn = seq;
6938 while (insn != NULL_RTX)
6940 RTX_FRAME_RELATED_P (insn) = 1;
6941 insn = NEXT_INSN (insn);
6943 seq = emit_insn (seq);
6945 else
6947 seq = emit_insn (seq);
6948 RTX_FRAME_RELATED_P (seq) = 1;
6950 return seq;
6953 #define FRP(exp) (start_sequence (), exp, set_frame_related_p ())
6955 /* Write function prologue. */
6957 /* On vms we have two kinds of functions:
6959 - stack frame (PROC_STACK)
6960 these are 'normal' functions with local vars and which are
6961 calling other functions
6962 - register frame (PROC_REGISTER)
6963 keeps all data in registers, needs no stack
6965 We must pass this to the assembler so it can generate the
6966 proper pdsc (procedure descriptor)
6967 This is done with the '.pdesc' command.
6969 On not-vms, we don't really differentiate between the two, as we can
6970 simply allocate stack without saving registers. */
6972 void
6973 alpha_expand_prologue (void)
6975 /* Registers to save. */
6976 unsigned long imask = 0;
6977 unsigned long fmask = 0;
6978 /* Stack space needed for pushing registers clobbered by us. */
6979 HOST_WIDE_INT sa_size;
6980 /* Complete stack size needed. */
6981 HOST_WIDE_INT frame_size;
6982 /* Offset from base reg to register save area. */
6983 HOST_WIDE_INT reg_offset;
6984 rtx sa_reg, mem;
6985 int i;
6987 sa_size = alpha_sa_size ();
6989 frame_size = get_frame_size ();
6990 if (TARGET_ABI_OPEN_VMS)
6991 frame_size = ALPHA_ROUND (sa_size
6992 + (alpha_procedure_type == PT_STACK ? 8 : 0)
6993 + frame_size
6994 + current_function_pretend_args_size);
6995 else if (TARGET_ABI_UNICOSMK)
6996 /* We have to allocate space for the DSIB if we generate a frame. */
6997 frame_size = ALPHA_ROUND (sa_size
6998 + (alpha_procedure_type == PT_STACK ? 48 : 0))
6999 + ALPHA_ROUND (frame_size
7000 + current_function_outgoing_args_size);
7001 else
7002 frame_size = (ALPHA_ROUND (current_function_outgoing_args_size)
7003 + sa_size
7004 + ALPHA_ROUND (frame_size
7005 + current_function_pretend_args_size));
7007 if (TARGET_ABI_OPEN_VMS)
7008 reg_offset = 8;
7009 else
7010 reg_offset = ALPHA_ROUND (current_function_outgoing_args_size);
7012 alpha_sa_mask (&imask, &fmask);
7014 /* Emit an insn to reload GP, if needed. */
7015 if (TARGET_ABI_OSF)
7017 alpha_function_needs_gp = alpha_does_function_need_gp ();
7018 if (alpha_function_needs_gp)
7019 emit_insn (gen_prologue_ldgp ());
7022 /* TARGET_PROFILING_NEEDS_GP actually implies that we need to insert
7023 the call to mcount ourselves, rather than having the linker do it
7024 magically in response to -pg. Since _mcount has special linkage,
7025 don't represent the call as a call. */
7026 if (TARGET_PROFILING_NEEDS_GP && current_function_profile)
7027 emit_insn (gen_prologue_mcount ());
7029 if (TARGET_ABI_UNICOSMK)
7030 unicosmk_gen_dsib (&imask);
7032 /* Adjust the stack by the frame size. If the frame size is > 4096
7033 bytes, we need to be sure we probe somewhere in the first and last
7034 4096 bytes (we can probably get away without the latter test) and
7035 every 8192 bytes in between. If the frame size is > 32768, we
7036 do this in a loop. Otherwise, we generate the explicit probe
7037 instructions.
7039 Note that we are only allowed to adjust sp once in the prologue. */
7041 if (frame_size <= 32768)
7043 if (frame_size > 4096)
7045 int probed = 4096;
7048 emit_insn (gen_probe_stack (GEN_INT (TARGET_ABI_UNICOSMK
7049 ? -probed + 64
7050 : -probed)));
7051 while ((probed += 8192) < frame_size);
7053 /* We only have to do this probe if we aren't saving registers. */
7054 if (sa_size == 0 && probed + 4096 < frame_size)
7055 emit_insn (gen_probe_stack (GEN_INT (-frame_size)));
7058 if (frame_size != 0)
7059 FRP (emit_insn (gen_adddi3 (stack_pointer_rtx, stack_pointer_rtx,
7060 GEN_INT (TARGET_ABI_UNICOSMK
7061 ? -frame_size + 64
7062 : -frame_size))));
7064 else
7066 /* Here we generate code to set R22 to SP + 4096 and set R23 to the
7067 number of 8192 byte blocks to probe. We then probe each block
7068 in the loop and then set SP to the proper location. If the
7069 amount remaining is > 4096, we have to do one more probe if we
7070 are not saving any registers. */
7072 HOST_WIDE_INT blocks = (frame_size + 4096) / 8192;
7073 HOST_WIDE_INT leftover = frame_size + 4096 - blocks * 8192;
7074 rtx ptr = gen_rtx_REG (DImode, 22);
7075 rtx count = gen_rtx_REG (DImode, 23);
7076 rtx seq;
7078 emit_move_insn (count, GEN_INT (blocks));
7079 emit_insn (gen_adddi3 (ptr, stack_pointer_rtx,
7080 GEN_INT (TARGET_ABI_UNICOSMK ? 4096 - 64 : 4096)));
7082 /* Because of the difficulty in emitting a new basic block this
7083 late in the compilation, generate the loop as a single insn. */
7084 emit_insn (gen_prologue_stack_probe_loop (count, ptr));
7086 if (leftover > 4096 && sa_size == 0)
7088 rtx last = gen_rtx_MEM (DImode, plus_constant (ptr, -leftover));
7089 MEM_VOLATILE_P (last) = 1;
7090 emit_move_insn (last, const0_rtx);
7093 if (TARGET_ABI_WINDOWS_NT)
7095 /* For NT stack unwind (done by 'reverse execution'), it's
7096 not OK to take the result of a loop, even though the value
7097 is already in ptr, so we reload it via a single operation
7098 and subtract it to sp.
7100 Yes, that's correct -- we have to reload the whole constant
7101 into a temporary via ldah+lda then subtract from sp. To
7102 ensure we get ldah+lda, we use a special pattern. */
7104 HOST_WIDE_INT lo, hi;
7105 lo = ((frame_size & 0xffff) ^ 0x8000) - 0x8000;
7106 hi = frame_size - lo;
7108 emit_move_insn (ptr, GEN_INT (hi));
7109 emit_insn (gen_nt_lda (ptr, GEN_INT (lo)));
7110 seq = emit_insn (gen_subdi3 (stack_pointer_rtx, stack_pointer_rtx,
7111 ptr));
7113 else
7115 seq = emit_insn (gen_adddi3 (stack_pointer_rtx, ptr,
7116 GEN_INT (-leftover)));
7119 /* This alternative is special, because the DWARF code cannot
7120 possibly intuit through the loop above. So we invent this
7121 note it looks at instead. */
7122 RTX_FRAME_RELATED_P (seq) = 1;
7123 REG_NOTES (seq)
7124 = gen_rtx_EXPR_LIST (REG_FRAME_RELATED_EXPR,
7125 gen_rtx_SET (VOIDmode, stack_pointer_rtx,
7126 gen_rtx_PLUS (Pmode, stack_pointer_rtx,
7127 GEN_INT (TARGET_ABI_UNICOSMK
7128 ? -frame_size + 64
7129 : -frame_size))),
7130 REG_NOTES (seq));
7133 if (!TARGET_ABI_UNICOSMK)
7135 /* Cope with very large offsets to the register save area. */
7136 sa_reg = stack_pointer_rtx;
7137 if (reg_offset + sa_size > 0x8000)
7139 int low = ((reg_offset & 0xffff) ^ 0x8000) - 0x8000;
7140 HOST_WIDE_INT bias;
7142 if (low + sa_size <= 0x8000)
7143 bias = reg_offset - low, reg_offset = low;
7144 else
7145 bias = reg_offset, reg_offset = 0;
7147 sa_reg = gen_rtx_REG (DImode, 24);
7148 FRP (emit_insn (gen_adddi3 (sa_reg, stack_pointer_rtx,
7149 GEN_INT (bias))));
7152 /* Save regs in stack order. Beginning with VMS PV. */
7153 if (TARGET_ABI_OPEN_VMS && alpha_procedure_type == PT_STACK)
7155 mem = gen_rtx_MEM (DImode, stack_pointer_rtx);
7156 set_mem_alias_set (mem, alpha_sr_alias_set);
7157 FRP (emit_move_insn (mem, gen_rtx_REG (DImode, REG_PV)));
7160 /* Save register RA next. */
7161 if (imask & (1UL << REG_RA))
7163 mem = gen_rtx_MEM (DImode, plus_constant (sa_reg, reg_offset));
7164 set_mem_alias_set (mem, alpha_sr_alias_set);
7165 FRP (emit_move_insn (mem, gen_rtx_REG (DImode, REG_RA)));
7166 imask &= ~(1UL << REG_RA);
7167 reg_offset += 8;
7170 /* Now save any other registers required to be saved. */
7171 for (i = 0; i < 32; i++)
7172 if (imask & (1UL << i))
7174 mem = gen_rtx_MEM (DImode, plus_constant (sa_reg, reg_offset));
7175 set_mem_alias_set (mem, alpha_sr_alias_set);
7176 FRP (emit_move_insn (mem, gen_rtx_REG (DImode, i)));
7177 reg_offset += 8;
7180 for (i = 0; i < 32; i++)
7181 if (fmask & (1UL << i))
7183 mem = gen_rtx_MEM (DFmode, plus_constant (sa_reg, reg_offset));
7184 set_mem_alias_set (mem, alpha_sr_alias_set);
7185 FRP (emit_move_insn (mem, gen_rtx_REG (DFmode, i+32)));
7186 reg_offset += 8;
7189 else if (TARGET_ABI_UNICOSMK && alpha_procedure_type == PT_STACK)
7191 /* The standard frame on the T3E includes space for saving registers.
7192 We just have to use it. We don't have to save the return address and
7193 the old frame pointer here - they are saved in the DSIB. */
7195 reg_offset = -56;
7196 for (i = 9; i < 15; i++)
7197 if (imask & (1UL << i))
7199 mem = gen_rtx_MEM (DImode, plus_constant(hard_frame_pointer_rtx,
7200 reg_offset));
7201 set_mem_alias_set (mem, alpha_sr_alias_set);
7202 FRP (emit_move_insn (mem, gen_rtx_REG (DImode, i)));
7203 reg_offset -= 8;
7205 for (i = 2; i < 10; i++)
7206 if (fmask & (1UL << i))
7208 mem = gen_rtx_MEM (DFmode, plus_constant (hard_frame_pointer_rtx,
7209 reg_offset));
7210 set_mem_alias_set (mem, alpha_sr_alias_set);
7211 FRP (emit_move_insn (mem, gen_rtx_REG (DFmode, i+32)));
7212 reg_offset -= 8;
7216 if (TARGET_ABI_OPEN_VMS)
7218 if (alpha_procedure_type == PT_REGISTER)
7219 /* Register frame procedures save the fp.
7220 ?? Ought to have a dwarf2 save for this. */
7221 emit_move_insn (gen_rtx_REG (DImode, vms_save_fp_regno),
7222 hard_frame_pointer_rtx);
7224 if (alpha_procedure_type != PT_NULL && vms_base_regno != REG_PV)
7225 emit_insn (gen_force_movdi (gen_rtx_REG (DImode, vms_base_regno),
7226 gen_rtx_REG (DImode, REG_PV)));
7228 if (alpha_procedure_type != PT_NULL
7229 && vms_unwind_regno == HARD_FRAME_POINTER_REGNUM)
7230 FRP (emit_move_insn (hard_frame_pointer_rtx, stack_pointer_rtx));
7232 /* If we have to allocate space for outgoing args, do it now. */
7233 if (current_function_outgoing_args_size != 0)
7235 rtx seq
7236 = emit_move_insn (stack_pointer_rtx,
7237 plus_constant
7238 (hard_frame_pointer_rtx,
7239 - (ALPHA_ROUND
7240 (current_function_outgoing_args_size))));
7242 /* Only set FRAME_RELATED_P on the stack adjustment we just emitted
7243 if ! frame_pointer_needed. Setting the bit will change the CFA
7244 computation rule to use sp again, which would be wrong if we had
7245 frame_pointer_needed, as this means sp might move unpredictably
7246 later on.
7248 Also, note that
7249 frame_pointer_needed
7250 => vms_unwind_regno == HARD_FRAME_POINTER_REGNUM
7252 current_function_outgoing_args_size != 0
7253 => alpha_procedure_type != PT_NULL,
7255 so when we are not setting the bit here, we are guaranteed to
7256 have emitted an FRP frame pointer update just before. */
7257 RTX_FRAME_RELATED_P (seq) = ! frame_pointer_needed;
7260 else if (!TARGET_ABI_UNICOSMK)
7262 /* If we need a frame pointer, set it from the stack pointer. */
7263 if (frame_pointer_needed)
7265 if (TARGET_CAN_FAULT_IN_PROLOGUE)
7266 FRP (emit_move_insn (hard_frame_pointer_rtx, stack_pointer_rtx));
7267 else
7268 /* This must always be the last instruction in the
7269 prologue, thus we emit a special move + clobber. */
7270 FRP (emit_insn (gen_init_fp (hard_frame_pointer_rtx,
7271 stack_pointer_rtx, sa_reg)));
7275 /* The ABIs for VMS and OSF/1 say that while we can schedule insns into
7276 the prologue, for exception handling reasons, we cannot do this for
7277 any insn that might fault. We could prevent this for mems with a
7278 (clobber:BLK (scratch)), but this doesn't work for fp insns. So we
7279 have to prevent all such scheduling with a blockage.
7281 Linux, on the other hand, never bothered to implement OSF/1's
7282 exception handling, and so doesn't care about such things. Anyone
7283 planning to use dwarf2 frame-unwind info can also omit the blockage. */
7285 if (! TARGET_CAN_FAULT_IN_PROLOGUE)
7286 emit_insn (gen_blockage ());
7289 /* Output the textual info surrounding the prologue. */
7291 void
7292 alpha_start_function (FILE *file, const char *fnname,
7293 tree decl ATTRIBUTE_UNUSED)
7295 unsigned long imask = 0;
7296 unsigned long fmask = 0;
7297 /* Stack space needed for pushing registers clobbered by us. */
7298 HOST_WIDE_INT sa_size;
7299 /* Complete stack size needed. */
7300 unsigned HOST_WIDE_INT frame_size;
7301 /* Offset from base reg to register save area. */
7302 HOST_WIDE_INT reg_offset;
7303 char *entry_label = (char *) alloca (strlen (fnname) + 6);
7304 int i;
7306 /* Don't emit an extern directive for functions defined in the same file. */
7307 if (TARGET_ABI_UNICOSMK)
7309 tree name_tree;
7310 name_tree = get_identifier (fnname);
7311 TREE_ASM_WRITTEN (name_tree) = 1;
7314 alpha_fnname = fnname;
7315 sa_size = alpha_sa_size ();
7317 frame_size = get_frame_size ();
7318 if (TARGET_ABI_OPEN_VMS)
7319 frame_size = ALPHA_ROUND (sa_size
7320 + (alpha_procedure_type == PT_STACK ? 8 : 0)
7321 + frame_size
7322 + current_function_pretend_args_size);
7323 else if (TARGET_ABI_UNICOSMK)
7324 frame_size = ALPHA_ROUND (sa_size
7325 + (alpha_procedure_type == PT_STACK ? 48 : 0))
7326 + ALPHA_ROUND (frame_size
7327 + current_function_outgoing_args_size);
7328 else
7329 frame_size = (ALPHA_ROUND (current_function_outgoing_args_size)
7330 + sa_size
7331 + ALPHA_ROUND (frame_size
7332 + current_function_pretend_args_size));
7334 if (TARGET_ABI_OPEN_VMS)
7335 reg_offset = 8;
7336 else
7337 reg_offset = ALPHA_ROUND (current_function_outgoing_args_size);
7339 alpha_sa_mask (&imask, &fmask);
7341 /* Ecoff can handle multiple .file directives, so put out file and lineno.
7342 We have to do that before the .ent directive as we cannot switch
7343 files within procedures with native ecoff because line numbers are
7344 linked to procedure descriptors.
7345 Outputting the lineno helps debugging of one line functions as they
7346 would otherwise get no line number at all. Please note that we would
7347 like to put out last_linenum from final.c, but it is not accessible. */
7349 if (write_symbols == SDB_DEBUG)
7351 #ifdef ASM_OUTPUT_SOURCE_FILENAME
7352 ASM_OUTPUT_SOURCE_FILENAME (file,
7353 DECL_SOURCE_FILE (current_function_decl));
7354 #endif
7355 #ifdef ASM_OUTPUT_SOURCE_LINE
7356 if (debug_info_level != DINFO_LEVEL_TERSE)
7357 ASM_OUTPUT_SOURCE_LINE (file,
7358 DECL_SOURCE_LINE (current_function_decl), 0);
7359 #endif
7362 /* Issue function start and label. */
7363 if (TARGET_ABI_OPEN_VMS
7364 || (!TARGET_ABI_UNICOSMK && !flag_inhibit_size_directive))
7366 fputs ("\t.ent ", file);
7367 assemble_name (file, fnname);
7368 putc ('\n', file);
7370 /* If the function needs GP, we'll write the "..ng" label there.
7371 Otherwise, do it here. */
7372 if (TARGET_ABI_OSF
7373 && ! alpha_function_needs_gp
7374 && ! current_function_is_thunk)
7376 putc ('$', file);
7377 assemble_name (file, fnname);
7378 fputs ("..ng:\n", file);
7382 strcpy (entry_label, fnname);
7383 if (TARGET_ABI_OPEN_VMS)
7384 strcat (entry_label, "..en");
7386 /* For public functions, the label must be globalized by appending an
7387 additional colon. */
7388 if (TARGET_ABI_UNICOSMK && TREE_PUBLIC (decl))
7389 strcat (entry_label, ":");
7391 ASM_OUTPUT_LABEL (file, entry_label);
7392 inside_function = TRUE;
7394 if (TARGET_ABI_OPEN_VMS)
7395 fprintf (file, "\t.base $%d\n", vms_base_regno);
7397 if (!TARGET_ABI_OPEN_VMS && !TARGET_ABI_UNICOSMK && TARGET_IEEE_CONFORMANT
7398 && !flag_inhibit_size_directive)
7400 /* Set flags in procedure descriptor to request IEEE-conformant
7401 math-library routines. The value we set it to is PDSC_EXC_IEEE
7402 (/usr/include/pdsc.h). */
7403 fputs ("\t.eflag 48\n", file);
7406 /* Set up offsets to alpha virtual arg/local debugging pointer. */
7407 alpha_auto_offset = -frame_size + current_function_pretend_args_size;
7408 alpha_arg_offset = -frame_size + 48;
7410 /* Describe our frame. If the frame size is larger than an integer,
7411 print it as zero to avoid an assembler error. We won't be
7412 properly describing such a frame, but that's the best we can do. */
7413 if (TARGET_ABI_UNICOSMK)
7415 else if (TARGET_ABI_OPEN_VMS)
7416 fprintf (file, "\t.frame $%d," HOST_WIDE_INT_PRINT_DEC ",$26,"
7417 HOST_WIDE_INT_PRINT_DEC "\n",
7418 vms_unwind_regno,
7419 frame_size >= (1UL << 31) ? 0 : frame_size,
7420 reg_offset);
7421 else if (!flag_inhibit_size_directive)
7422 fprintf (file, "\t.frame $%d," HOST_WIDE_INT_PRINT_DEC ",$26,%d\n",
7423 (frame_pointer_needed
7424 ? HARD_FRAME_POINTER_REGNUM : STACK_POINTER_REGNUM),
7425 frame_size >= (1UL << 31) ? 0 : frame_size,
7426 current_function_pretend_args_size);
7428 /* Describe which registers were spilled. */
7429 if (TARGET_ABI_UNICOSMK)
7431 else if (TARGET_ABI_OPEN_VMS)
7433 if (imask)
7434 /* ??? Does VMS care if mask contains ra? The old code didn't
7435 set it, so I don't here. */
7436 fprintf (file, "\t.mask 0x%lx,0\n", imask & ~(1UL << REG_RA));
7437 if (fmask)
7438 fprintf (file, "\t.fmask 0x%lx,0\n", fmask);
7439 if (alpha_procedure_type == PT_REGISTER)
7440 fprintf (file, "\t.fp_save $%d\n", vms_save_fp_regno);
7442 else if (!flag_inhibit_size_directive)
7444 if (imask)
7446 fprintf (file, "\t.mask 0x%lx," HOST_WIDE_INT_PRINT_DEC "\n", imask,
7447 frame_size >= (1UL << 31) ? 0 : reg_offset - frame_size);
7449 for (i = 0; i < 32; ++i)
7450 if (imask & (1UL << i))
7451 reg_offset += 8;
7454 if (fmask)
7455 fprintf (file, "\t.fmask 0x%lx," HOST_WIDE_INT_PRINT_DEC "\n", fmask,
7456 frame_size >= (1UL << 31) ? 0 : reg_offset - frame_size);
7459 #if TARGET_ABI_OPEN_VMS
7460 /* Ifdef'ed cause link_section are only available then. */
7461 readonly_data_section ();
7462 fprintf (file, "\t.align 3\n");
7463 assemble_name (file, fnname); fputs ("..na:\n", file);
7464 fputs ("\t.ascii \"", file);
7465 assemble_name (file, fnname);
7466 fputs ("\\0\"\n", file);
7467 alpha_need_linkage (fnname, 1);
7468 text_section ();
7469 #endif
7472 /* Emit the .prologue note at the scheduled end of the prologue. */
7474 static void
7475 alpha_output_function_end_prologue (FILE *file)
7477 if (TARGET_ABI_UNICOSMK)
7479 else if (TARGET_ABI_OPEN_VMS)
7480 fputs ("\t.prologue\n", file);
7481 else if (TARGET_ABI_WINDOWS_NT)
7482 fputs ("\t.prologue 0\n", file);
7483 else if (!flag_inhibit_size_directive)
7484 fprintf (file, "\t.prologue %d\n",
7485 alpha_function_needs_gp || current_function_is_thunk);
7488 /* Write function epilogue. */
7490 /* ??? At some point we will want to support full unwind, and so will
7491 need to mark the epilogue as well. At the moment, we just confuse
7492 dwarf2out. */
7493 #undef FRP
7494 #define FRP(exp) exp
7496 void
7497 alpha_expand_epilogue (void)
7499 /* Registers to save. */
7500 unsigned long imask = 0;
7501 unsigned long fmask = 0;
7502 /* Stack space needed for pushing registers clobbered by us. */
7503 HOST_WIDE_INT sa_size;
7504 /* Complete stack size needed. */
7505 HOST_WIDE_INT frame_size;
7506 /* Offset from base reg to register save area. */
7507 HOST_WIDE_INT reg_offset;
7508 int fp_is_frame_pointer, fp_offset;
7509 rtx sa_reg, sa_reg_exp = NULL;
7510 rtx sp_adj1, sp_adj2, mem;
7511 rtx eh_ofs;
7512 int i;
7514 sa_size = alpha_sa_size ();
7516 frame_size = get_frame_size ();
7517 if (TARGET_ABI_OPEN_VMS)
7518 frame_size = ALPHA_ROUND (sa_size
7519 + (alpha_procedure_type == PT_STACK ? 8 : 0)
7520 + frame_size
7521 + current_function_pretend_args_size);
7522 else if (TARGET_ABI_UNICOSMK)
7523 frame_size = ALPHA_ROUND (sa_size
7524 + (alpha_procedure_type == PT_STACK ? 48 : 0))
7525 + ALPHA_ROUND (frame_size
7526 + current_function_outgoing_args_size);
7527 else
7528 frame_size = (ALPHA_ROUND (current_function_outgoing_args_size)
7529 + sa_size
7530 + ALPHA_ROUND (frame_size
7531 + current_function_pretend_args_size));
7533 if (TARGET_ABI_OPEN_VMS)
7535 if (alpha_procedure_type == PT_STACK)
7536 reg_offset = 8;
7537 else
7538 reg_offset = 0;
7540 else
7541 reg_offset = ALPHA_ROUND (current_function_outgoing_args_size);
7543 alpha_sa_mask (&imask, &fmask);
7545 fp_is_frame_pointer
7546 = ((TARGET_ABI_OPEN_VMS && alpha_procedure_type == PT_STACK)
7547 || (!TARGET_ABI_OPEN_VMS && frame_pointer_needed));
7548 fp_offset = 0;
7549 sa_reg = stack_pointer_rtx;
7551 if (current_function_calls_eh_return)
7552 eh_ofs = EH_RETURN_STACKADJ_RTX;
7553 else
7554 eh_ofs = NULL_RTX;
7556 if (!TARGET_ABI_UNICOSMK && sa_size)
7558 /* If we have a frame pointer, restore SP from it. */
7559 if ((TARGET_ABI_OPEN_VMS
7560 && vms_unwind_regno == HARD_FRAME_POINTER_REGNUM)
7561 || (!TARGET_ABI_OPEN_VMS && frame_pointer_needed))
7562 FRP (emit_move_insn (stack_pointer_rtx, hard_frame_pointer_rtx));
7564 /* Cope with very large offsets to the register save area. */
7565 if (reg_offset + sa_size > 0x8000)
7567 int low = ((reg_offset & 0xffff) ^ 0x8000) - 0x8000;
7568 HOST_WIDE_INT bias;
7570 if (low + sa_size <= 0x8000)
7571 bias = reg_offset - low, reg_offset = low;
7572 else
7573 bias = reg_offset, reg_offset = 0;
7575 sa_reg = gen_rtx_REG (DImode, 22);
7576 sa_reg_exp = plus_constant (stack_pointer_rtx, bias);
7578 FRP (emit_move_insn (sa_reg, sa_reg_exp));
7581 /* Restore registers in order, excepting a true frame pointer. */
7583 mem = gen_rtx_MEM (DImode, plus_constant (sa_reg, reg_offset));
7584 if (! eh_ofs)
7585 set_mem_alias_set (mem, alpha_sr_alias_set);
7586 FRP (emit_move_insn (gen_rtx_REG (DImode, REG_RA), mem));
7588 reg_offset += 8;
7589 imask &= ~(1UL << REG_RA);
7591 for (i = 0; i < 32; ++i)
7592 if (imask & (1UL << i))
7594 if (i == HARD_FRAME_POINTER_REGNUM && fp_is_frame_pointer)
7595 fp_offset = reg_offset;
7596 else
7598 mem = gen_rtx_MEM (DImode, plus_constant(sa_reg, reg_offset));
7599 set_mem_alias_set (mem, alpha_sr_alias_set);
7600 FRP (emit_move_insn (gen_rtx_REG (DImode, i), mem));
7602 reg_offset += 8;
7605 for (i = 0; i < 32; ++i)
7606 if (fmask & (1UL << i))
7608 mem = gen_rtx_MEM (DFmode, plus_constant(sa_reg, reg_offset));
7609 set_mem_alias_set (mem, alpha_sr_alias_set);
7610 FRP (emit_move_insn (gen_rtx_REG (DFmode, i+32), mem));
7611 reg_offset += 8;
7614 else if (TARGET_ABI_UNICOSMK && alpha_procedure_type == PT_STACK)
7616 /* Restore callee-saved general-purpose registers. */
7618 reg_offset = -56;
7620 for (i = 9; i < 15; i++)
7621 if (imask & (1UL << i))
7623 mem = gen_rtx_MEM (DImode, plus_constant(hard_frame_pointer_rtx,
7624 reg_offset));
7625 set_mem_alias_set (mem, alpha_sr_alias_set);
7626 FRP (emit_move_insn (gen_rtx_REG (DImode, i), mem));
7627 reg_offset -= 8;
7630 for (i = 2; i < 10; i++)
7631 if (fmask & (1UL << i))
7633 mem = gen_rtx_MEM (DFmode, plus_constant(hard_frame_pointer_rtx,
7634 reg_offset));
7635 set_mem_alias_set (mem, alpha_sr_alias_set);
7636 FRP (emit_move_insn (gen_rtx_REG (DFmode, i+32), mem));
7637 reg_offset -= 8;
7640 /* Restore the return address from the DSIB. */
7642 mem = gen_rtx_MEM (DImode, plus_constant(hard_frame_pointer_rtx, -8));
7643 set_mem_alias_set (mem, alpha_sr_alias_set);
7644 FRP (emit_move_insn (gen_rtx_REG (DImode, REG_RA), mem));
7647 if (frame_size || eh_ofs)
7649 sp_adj1 = stack_pointer_rtx;
7651 if (eh_ofs)
7653 sp_adj1 = gen_rtx_REG (DImode, 23);
7654 emit_move_insn (sp_adj1,
7655 gen_rtx_PLUS (Pmode, stack_pointer_rtx, eh_ofs));
7658 /* If the stack size is large, begin computation into a temporary
7659 register so as not to interfere with a potential fp restore,
7660 which must be consecutive with an SP restore. */
7661 if (frame_size < 32768
7662 && ! (TARGET_ABI_UNICOSMK && current_function_calls_alloca))
7663 sp_adj2 = GEN_INT (frame_size);
7664 else if (TARGET_ABI_UNICOSMK)
7666 sp_adj1 = gen_rtx_REG (DImode, 23);
7667 FRP (emit_move_insn (sp_adj1, hard_frame_pointer_rtx));
7668 sp_adj2 = const0_rtx;
7670 else if (frame_size < 0x40007fffL)
7672 int low = ((frame_size & 0xffff) ^ 0x8000) - 0x8000;
7674 sp_adj2 = plus_constant (sp_adj1, frame_size - low);
7675 if (sa_reg_exp && rtx_equal_p (sa_reg_exp, sp_adj2))
7676 sp_adj1 = sa_reg;
7677 else
7679 sp_adj1 = gen_rtx_REG (DImode, 23);
7680 FRP (emit_move_insn (sp_adj1, sp_adj2));
7682 sp_adj2 = GEN_INT (low);
7684 else
7686 rtx tmp = gen_rtx_REG (DImode, 23);
7687 FRP (sp_adj2 = alpha_emit_set_const (tmp, DImode, frame_size, 3));
7688 if (!sp_adj2)
7690 /* We can't drop new things to memory this late, afaik,
7691 so build it up by pieces. */
7692 FRP (sp_adj2 = alpha_emit_set_long_const (tmp, frame_size,
7693 -(frame_size < 0)));
7694 if (!sp_adj2)
7695 abort ();
7699 /* From now on, things must be in order. So emit blockages. */
7701 /* Restore the frame pointer. */
7702 if (TARGET_ABI_UNICOSMK)
7704 emit_insn (gen_blockage ());
7705 mem = gen_rtx_MEM (DImode,
7706 plus_constant (hard_frame_pointer_rtx, -16));
7707 set_mem_alias_set (mem, alpha_sr_alias_set);
7708 FRP (emit_move_insn (hard_frame_pointer_rtx, mem));
7710 else if (fp_is_frame_pointer)
7712 emit_insn (gen_blockage ());
7713 mem = gen_rtx_MEM (DImode, plus_constant (sa_reg, fp_offset));
7714 set_mem_alias_set (mem, alpha_sr_alias_set);
7715 FRP (emit_move_insn (hard_frame_pointer_rtx, mem));
7717 else if (TARGET_ABI_OPEN_VMS)
7719 emit_insn (gen_blockage ());
7720 FRP (emit_move_insn (hard_frame_pointer_rtx,
7721 gen_rtx_REG (DImode, vms_save_fp_regno)));
7724 /* Restore the stack pointer. */
7725 emit_insn (gen_blockage ());
7726 if (sp_adj2 == const0_rtx)
7727 FRP (emit_move_insn (stack_pointer_rtx, sp_adj1));
7728 else
7729 FRP (emit_move_insn (stack_pointer_rtx,
7730 gen_rtx_PLUS (DImode, sp_adj1, sp_adj2)));
7732 else
7734 if (TARGET_ABI_OPEN_VMS && alpha_procedure_type == PT_REGISTER)
7736 emit_insn (gen_blockage ());
7737 FRP (emit_move_insn (hard_frame_pointer_rtx,
7738 gen_rtx_REG (DImode, vms_save_fp_regno)));
7740 else if (TARGET_ABI_UNICOSMK && alpha_procedure_type != PT_STACK)
7742 /* Decrement the frame pointer if the function does not have a
7743 frame. */
7745 emit_insn (gen_blockage ());
7746 FRP (emit_insn (gen_adddi3 (hard_frame_pointer_rtx,
7747 hard_frame_pointer_rtx, GEN_INT (-1))));
7752 /* Output the rest of the textual info surrounding the epilogue. */
7754 void
7755 alpha_end_function (FILE *file, const char *fnname, tree decl ATTRIBUTE_UNUSED)
7757 /* End the function. */
7758 if (!TARGET_ABI_UNICOSMK && !flag_inhibit_size_directive)
7760 fputs ("\t.end ", file);
7761 assemble_name (file, fnname);
7762 putc ('\n', file);
7764 inside_function = FALSE;
7766 #if TARGET_ABI_OPEN_VMS
7767 alpha_write_linkage (file, fnname, decl);
7768 #endif
7770 /* Output jump tables and the static subroutine information block. */
7771 if (TARGET_ABI_UNICOSMK)
7773 unicosmk_output_ssib (file, fnname);
7774 unicosmk_output_deferred_case_vectors (file);
7778 #if TARGET_ABI_OSF
7779 /* Emit a tail call to FUNCTION after adjusting THIS by DELTA.
7781 In order to avoid the hordes of differences between generated code
7782 with and without TARGET_EXPLICIT_RELOCS, and to avoid duplicating
7783 lots of code loading up large constants, generate rtl and emit it
7784 instead of going straight to text.
7786 Not sure why this idea hasn't been explored before... */
7788 static void
7789 alpha_output_mi_thunk_osf (FILE *file, tree thunk_fndecl ATTRIBUTE_UNUSED,
7790 HOST_WIDE_INT delta, HOST_WIDE_INT vcall_offset,
7791 tree function)
7793 HOST_WIDE_INT hi, lo;
7794 rtx this, insn, funexp;
7796 /* We always require a valid GP. */
7797 emit_insn (gen_prologue_ldgp ());
7798 emit_note (NOTE_INSN_PROLOGUE_END);
7800 /* Find the "this" pointer. If the function returns a structure,
7801 the structure return pointer is in $16. */
7802 if (aggregate_value_p (TREE_TYPE (TREE_TYPE (function))))
7803 this = gen_rtx_REG (Pmode, 17);
7804 else
7805 this = gen_rtx_REG (Pmode, 16);
7807 /* Add DELTA. When possible we use ldah+lda. Otherwise load the
7808 entire constant for the add. */
7809 lo = ((delta & 0xffff) ^ 0x8000) - 0x8000;
7810 hi = (((delta - lo) & 0xffffffff) ^ 0x80000000) - 0x80000000;
7811 if (hi + lo == delta)
7813 if (hi)
7814 emit_insn (gen_adddi3 (this, this, GEN_INT (hi)));
7815 if (lo)
7816 emit_insn (gen_adddi3 (this, this, GEN_INT (lo)));
7818 else
7820 rtx tmp = alpha_emit_set_long_const (gen_rtx_REG (Pmode, 0),
7821 delta, -(delta < 0));
7822 emit_insn (gen_adddi3 (this, this, tmp));
7825 /* Add a delta stored in the vtable at VCALL_OFFSET. */
7826 if (vcall_offset)
7828 rtx tmp, tmp2;
7830 tmp = gen_rtx_REG (Pmode, 0);
7831 emit_move_insn (tmp, gen_rtx_MEM (Pmode, this));
7833 lo = ((vcall_offset & 0xffff) ^ 0x8000) - 0x8000;
7834 hi = (((vcall_offset - lo) & 0xffffffff) ^ 0x80000000) - 0x80000000;
7835 if (hi + lo == vcall_offset)
7837 if (hi)
7838 emit_insn (gen_adddi3 (tmp, tmp, GEN_INT (hi)));
7840 else
7842 tmp2 = alpha_emit_set_long_const (gen_rtx_REG (Pmode, 1),
7843 vcall_offset, -(vcall_offset < 0));
7844 emit_insn (gen_adddi3 (tmp, tmp, tmp2));
7845 lo = 0;
7847 if (lo)
7848 tmp2 = gen_rtx_PLUS (Pmode, tmp, GEN_INT (lo));
7849 else
7850 tmp2 = tmp;
7851 emit_move_insn (tmp, gen_rtx_MEM (Pmode, tmp2));
7853 emit_insn (gen_adddi3 (this, this, tmp));
7856 /* Generate a tail call to the target function. */
7857 if (! TREE_USED (function))
7859 assemble_external (function);
7860 TREE_USED (function) = 1;
7862 funexp = XEXP (DECL_RTL (function), 0);
7863 funexp = gen_rtx_MEM (FUNCTION_MODE, funexp);
7864 insn = emit_call_insn (gen_sibcall (funexp, const0_rtx));
7865 SIBLING_CALL_P (insn) = 1;
7867 /* Run just enough of rest_of_compilation to get the insns emitted.
7868 There's not really enough bulk here to make other passes such as
7869 instruction scheduling worth while. Note that use_thunk calls
7870 assemble_start_function and assemble_end_function. */
7871 insn = get_insns ();
7872 insn_locators_initialize ();
7873 shorten_branches (insn);
7874 final_start_function (insn, file, 1);
7875 final (insn, file, 1, 0);
7876 final_end_function ();
7878 #endif /* TARGET_ABI_OSF */
7880 /* Debugging support. */
7882 #include "gstab.h"
7884 /* Count the number of sdb related labels are generated (to find block
7885 start and end boundaries). */
7887 int sdb_label_count = 0;
7889 /* Next label # for each statement. */
7891 static int sym_lineno = 0;
7893 /* Count the number of .file directives, so that .loc is up to date. */
7895 static int num_source_filenames = 0;
7897 /* Name of the file containing the current function. */
7899 static const char *current_function_file = "";
7901 /* Offsets to alpha virtual arg/local debugging pointers. */
7903 long alpha_arg_offset;
7904 long alpha_auto_offset;
7906 /* Emit a new filename to a stream. */
7908 void
7909 alpha_output_filename (FILE *stream, const char *name)
7911 static int first_time = TRUE;
7912 char ltext_label_name[100];
7914 if (first_time)
7916 first_time = FALSE;
7917 ++num_source_filenames;
7918 current_function_file = name;
7919 fprintf (stream, "\t.file\t%d ", num_source_filenames);
7920 output_quoted_string (stream, name);
7921 fprintf (stream, "\n");
7922 if (!TARGET_GAS && write_symbols == DBX_DEBUG)
7923 fprintf (stream, "\t#@stabs\n");
7926 else if (write_symbols == DBX_DEBUG)
7928 ASM_GENERATE_INTERNAL_LABEL (ltext_label_name, "Ltext", 0);
7929 fprintf (stream, "%s", ASM_STABS_OP);
7930 output_quoted_string (stream, name);
7931 fprintf (stream, ",%d,0,0,%s\n", N_SOL, &ltext_label_name[1]);
7934 else if (name != current_function_file
7935 && strcmp (name, current_function_file) != 0)
7937 if (inside_function && ! TARGET_GAS)
7938 fprintf (stream, "\t#.file\t%d ", num_source_filenames);
7939 else
7941 ++num_source_filenames;
7942 current_function_file = name;
7943 fprintf (stream, "\t.file\t%d ", num_source_filenames);
7946 output_quoted_string (stream, name);
7947 fprintf (stream, "\n");
7951 /* Emit a linenumber to a stream. */
7953 void
7954 alpha_output_lineno (FILE *stream, int line)
7956 if (write_symbols == DBX_DEBUG)
7958 /* mips-tfile doesn't understand .stabd directives. */
7959 ++sym_lineno;
7960 fprintf (stream, "$LM%d:\n%s%d,0,%d,$LM%d\n",
7961 sym_lineno, ASM_STABN_OP, N_SLINE, line, sym_lineno);
7963 else
7964 fprintf (stream, "\n\t.loc\t%d %d\n", num_source_filenames, line);
7967 /* Structure to show the current status of registers and memory. */
7969 struct shadow_summary
7971 struct {
7972 unsigned int i : 31; /* Mask of int regs */
7973 unsigned int fp : 31; /* Mask of fp regs */
7974 unsigned int mem : 1; /* mem == imem | fpmem */
7975 } used, defd;
7978 /* Summary the effects of expression X on the machine. Update SUM, a pointer
7979 to the summary structure. SET is nonzero if the insn is setting the
7980 object, otherwise zero. */
7982 static void
7983 summarize_insn (rtx x, struct shadow_summary *sum, int set)
7985 const char *format_ptr;
7986 int i, j;
7988 if (x == 0)
7989 return;
7991 switch (GET_CODE (x))
7993 /* ??? Note that this case would be incorrect if the Alpha had a
7994 ZERO_EXTRACT in SET_DEST. */
7995 case SET:
7996 summarize_insn (SET_SRC (x), sum, 0);
7997 summarize_insn (SET_DEST (x), sum, 1);
7998 break;
8000 case CLOBBER:
8001 summarize_insn (XEXP (x, 0), sum, 1);
8002 break;
8004 case USE:
8005 summarize_insn (XEXP (x, 0), sum, 0);
8006 break;
8008 case ASM_OPERANDS:
8009 for (i = ASM_OPERANDS_INPUT_LENGTH (x) - 1; i >= 0; i--)
8010 summarize_insn (ASM_OPERANDS_INPUT (x, i), sum, 0);
8011 break;
8013 case PARALLEL:
8014 for (i = XVECLEN (x, 0) - 1; i >= 0; i--)
8015 summarize_insn (XVECEXP (x, 0, i), sum, 0);
8016 break;
8018 case SUBREG:
8019 summarize_insn (SUBREG_REG (x), sum, 0);
8020 break;
8022 case REG:
8024 int regno = REGNO (x);
8025 unsigned long mask = ((unsigned long) 1) << (regno % 32);
8027 if (regno == 31 || regno == 63)
8028 break;
8030 if (set)
8032 if (regno < 32)
8033 sum->defd.i |= mask;
8034 else
8035 sum->defd.fp |= mask;
8037 else
8039 if (regno < 32)
8040 sum->used.i |= mask;
8041 else
8042 sum->used.fp |= mask;
8045 break;
8047 case MEM:
8048 if (set)
8049 sum->defd.mem = 1;
8050 else
8051 sum->used.mem = 1;
8053 /* Find the regs used in memory address computation: */
8054 summarize_insn (XEXP (x, 0), sum, 0);
8055 break;
8057 case CONST_INT: case CONST_DOUBLE:
8058 case SYMBOL_REF: case LABEL_REF: case CONST:
8059 case SCRATCH: case ASM_INPUT:
8060 break;
8062 /* Handle common unary and binary ops for efficiency. */
8063 case COMPARE: case PLUS: case MINUS: case MULT: case DIV:
8064 case MOD: case UDIV: case UMOD: case AND: case IOR:
8065 case XOR: case ASHIFT: case ROTATE: case ASHIFTRT: case LSHIFTRT:
8066 case ROTATERT: case SMIN: case SMAX: case UMIN: case UMAX:
8067 case NE: case EQ: case GE: case GT: case LE:
8068 case LT: case GEU: case GTU: case LEU: case LTU:
8069 summarize_insn (XEXP (x, 0), sum, 0);
8070 summarize_insn (XEXP (x, 1), sum, 0);
8071 break;
8073 case NEG: case NOT: case SIGN_EXTEND: case ZERO_EXTEND:
8074 case TRUNCATE: case FLOAT_EXTEND: case FLOAT_TRUNCATE: case FLOAT:
8075 case FIX: case UNSIGNED_FLOAT: case UNSIGNED_FIX: case ABS:
8076 case SQRT: case FFS:
8077 summarize_insn (XEXP (x, 0), sum, 0);
8078 break;
8080 default:
8081 format_ptr = GET_RTX_FORMAT (GET_CODE (x));
8082 for (i = GET_RTX_LENGTH (GET_CODE (x)) - 1; i >= 0; i--)
8083 switch (format_ptr[i])
8085 case 'e':
8086 summarize_insn (XEXP (x, i), sum, 0);
8087 break;
8089 case 'E':
8090 for (j = XVECLEN (x, i) - 1; j >= 0; j--)
8091 summarize_insn (XVECEXP (x, i, j), sum, 0);
8092 break;
8094 case 'i':
8095 break;
8097 default:
8098 abort ();
8103 /* Ensure a sufficient number of `trapb' insns are in the code when
8104 the user requests code with a trap precision of functions or
8105 instructions.
8107 In naive mode, when the user requests a trap-precision of
8108 "instruction", a trapb is needed after every instruction that may
8109 generate a trap. This ensures that the code is resumption safe but
8110 it is also slow.
8112 When optimizations are turned on, we delay issuing a trapb as long
8113 as possible. In this context, a trap shadow is the sequence of
8114 instructions that starts with a (potentially) trap generating
8115 instruction and extends to the next trapb or call_pal instruction
8116 (but GCC never generates call_pal by itself). We can delay (and
8117 therefore sometimes omit) a trapb subject to the following
8118 conditions:
8120 (a) On entry to the trap shadow, if any Alpha register or memory
8121 location contains a value that is used as an operand value by some
8122 instruction in the trap shadow (live on entry), then no instruction
8123 in the trap shadow may modify the register or memory location.
8125 (b) Within the trap shadow, the computation of the base register
8126 for a memory load or store instruction may not involve using the
8127 result of an instruction that might generate an UNPREDICTABLE
8128 result.
8130 (c) Within the trap shadow, no register may be used more than once
8131 as a destination register. (This is to make life easier for the
8132 trap-handler.)
8134 (d) The trap shadow may not include any branch instructions. */
8136 static void
8137 alpha_handle_trap_shadows (void)
8139 struct shadow_summary shadow;
8140 int trap_pending, exception_nesting;
8141 rtx i, n;
8143 trap_pending = 0;
8144 exception_nesting = 0;
8145 shadow.used.i = 0;
8146 shadow.used.fp = 0;
8147 shadow.used.mem = 0;
8148 shadow.defd = shadow.used;
8150 for (i = get_insns (); i ; i = NEXT_INSN (i))
8152 if (GET_CODE (i) == NOTE)
8154 switch (NOTE_LINE_NUMBER (i))
8156 case NOTE_INSN_EH_REGION_BEG:
8157 exception_nesting++;
8158 if (trap_pending)
8159 goto close_shadow;
8160 break;
8162 case NOTE_INSN_EH_REGION_END:
8163 exception_nesting--;
8164 if (trap_pending)
8165 goto close_shadow;
8166 break;
8168 case NOTE_INSN_EPILOGUE_BEG:
8169 if (trap_pending && alpha_tp >= ALPHA_TP_FUNC)
8170 goto close_shadow;
8171 break;
8174 else if (trap_pending)
8176 if (alpha_tp == ALPHA_TP_FUNC)
8178 if (GET_CODE (i) == JUMP_INSN
8179 && GET_CODE (PATTERN (i)) == RETURN)
8180 goto close_shadow;
8182 else if (alpha_tp == ALPHA_TP_INSN)
8184 if (optimize > 0)
8186 struct shadow_summary sum;
8188 sum.used.i = 0;
8189 sum.used.fp = 0;
8190 sum.used.mem = 0;
8191 sum.defd = sum.used;
8193 switch (GET_CODE (i))
8195 case INSN:
8196 /* Annoyingly, get_attr_trap will abort on these. */
8197 if (GET_CODE (PATTERN (i)) == USE
8198 || GET_CODE (PATTERN (i)) == CLOBBER)
8199 break;
8201 summarize_insn (PATTERN (i), &sum, 0);
8203 if ((sum.defd.i & shadow.defd.i)
8204 || (sum.defd.fp & shadow.defd.fp))
8206 /* (c) would be violated */
8207 goto close_shadow;
8210 /* Combine shadow with summary of current insn: */
8211 shadow.used.i |= sum.used.i;
8212 shadow.used.fp |= sum.used.fp;
8213 shadow.used.mem |= sum.used.mem;
8214 shadow.defd.i |= sum.defd.i;
8215 shadow.defd.fp |= sum.defd.fp;
8216 shadow.defd.mem |= sum.defd.mem;
8218 if ((sum.defd.i & shadow.used.i)
8219 || (sum.defd.fp & shadow.used.fp)
8220 || (sum.defd.mem & shadow.used.mem))
8222 /* (a) would be violated (also takes care of (b)) */
8223 if (get_attr_trap (i) == TRAP_YES
8224 && ((sum.defd.i & sum.used.i)
8225 || (sum.defd.fp & sum.used.fp)))
8226 abort ();
8228 goto close_shadow;
8230 break;
8232 case JUMP_INSN:
8233 case CALL_INSN:
8234 case CODE_LABEL:
8235 goto close_shadow;
8237 default:
8238 abort ();
8241 else
8243 close_shadow:
8244 n = emit_insn_before (gen_trapb (), i);
8245 PUT_MODE (n, TImode);
8246 PUT_MODE (i, TImode);
8247 trap_pending = 0;
8248 shadow.used.i = 0;
8249 shadow.used.fp = 0;
8250 shadow.used.mem = 0;
8251 shadow.defd = shadow.used;
8256 if ((exception_nesting > 0 || alpha_tp >= ALPHA_TP_FUNC)
8257 && GET_CODE (i) == INSN
8258 && GET_CODE (PATTERN (i)) != USE
8259 && GET_CODE (PATTERN (i)) != CLOBBER
8260 && get_attr_trap (i) == TRAP_YES)
8262 if (optimize && !trap_pending)
8263 summarize_insn (PATTERN (i), &shadow, 0);
8264 trap_pending = 1;
8269 /* Alpha can only issue instruction groups simultaneously if they are
8270 suitably aligned. This is very processor-specific. */
8272 enum alphaev4_pipe {
8273 EV4_STOP = 0,
8274 EV4_IB0 = 1,
8275 EV4_IB1 = 2,
8276 EV4_IBX = 4
8279 enum alphaev5_pipe {
8280 EV5_STOP = 0,
8281 EV5_NONE = 1,
8282 EV5_E01 = 2,
8283 EV5_E0 = 4,
8284 EV5_E1 = 8,
8285 EV5_FAM = 16,
8286 EV5_FA = 32,
8287 EV5_FM = 64
8290 static enum alphaev4_pipe
8291 alphaev4_insn_pipe (rtx insn)
8293 if (recog_memoized (insn) < 0)
8294 return EV4_STOP;
8295 if (get_attr_length (insn) != 4)
8296 return EV4_STOP;
8298 switch (get_attr_type (insn))
8300 case TYPE_ILD:
8301 case TYPE_FLD:
8302 return EV4_IBX;
8304 case TYPE_LDSYM:
8305 case TYPE_IADD:
8306 case TYPE_ILOG:
8307 case TYPE_ICMOV:
8308 case TYPE_ICMP:
8309 case TYPE_IST:
8310 case TYPE_FST:
8311 case TYPE_SHIFT:
8312 case TYPE_IMUL:
8313 case TYPE_FBR:
8314 return EV4_IB0;
8316 case TYPE_MISC:
8317 case TYPE_IBR:
8318 case TYPE_JSR:
8319 case TYPE_CALLPAL:
8320 case TYPE_FCPYS:
8321 case TYPE_FCMOV:
8322 case TYPE_FADD:
8323 case TYPE_FDIV:
8324 case TYPE_FMUL:
8325 return EV4_IB1;
8327 default:
8328 abort ();
8332 static enum alphaev5_pipe
8333 alphaev5_insn_pipe (rtx insn)
8335 if (recog_memoized (insn) < 0)
8336 return EV5_STOP;
8337 if (get_attr_length (insn) != 4)
8338 return EV5_STOP;
8340 switch (get_attr_type (insn))
8342 case TYPE_ILD:
8343 case TYPE_FLD:
8344 case TYPE_LDSYM:
8345 case TYPE_IADD:
8346 case TYPE_ILOG:
8347 case TYPE_ICMOV:
8348 case TYPE_ICMP:
8349 return EV5_E01;
8351 case TYPE_IST:
8352 case TYPE_FST:
8353 case TYPE_SHIFT:
8354 case TYPE_IMUL:
8355 case TYPE_MISC:
8356 case TYPE_MVI:
8357 return EV5_E0;
8359 case TYPE_IBR:
8360 case TYPE_JSR:
8361 case TYPE_CALLPAL:
8362 return EV5_E1;
8364 case TYPE_FCPYS:
8365 return EV5_FAM;
8367 case TYPE_FBR:
8368 case TYPE_FCMOV:
8369 case TYPE_FADD:
8370 case TYPE_FDIV:
8371 return EV5_FA;
8373 case TYPE_FMUL:
8374 return EV5_FM;
8376 default:
8377 abort();
8381 /* IN_USE is a mask of the slots currently filled within the insn group.
8382 The mask bits come from alphaev4_pipe above. If EV4_IBX is set, then
8383 the insn in EV4_IB0 can be swapped by the hardware into EV4_IB1.
8385 LEN is, of course, the length of the group in bytes. */
8387 static rtx
8388 alphaev4_next_group (rtx insn, int *pin_use, int *plen)
8390 int len, in_use;
8392 len = in_use = 0;
8394 if (! INSN_P (insn)
8395 || GET_CODE (PATTERN (insn)) == CLOBBER
8396 || GET_CODE (PATTERN (insn)) == USE)
8397 goto next_and_done;
8399 while (1)
8401 enum alphaev4_pipe pipe;
8403 pipe = alphaev4_insn_pipe (insn);
8404 switch (pipe)
8406 case EV4_STOP:
8407 /* Force complex instructions to start new groups. */
8408 if (in_use)
8409 goto done;
8411 /* If this is a completely unrecognized insn, its an asm.
8412 We don't know how long it is, so record length as -1 to
8413 signal a needed realignment. */
8414 if (recog_memoized (insn) < 0)
8415 len = -1;
8416 else
8417 len = get_attr_length (insn);
8418 goto next_and_done;
8420 case EV4_IBX:
8421 if (in_use & EV4_IB0)
8423 if (in_use & EV4_IB1)
8424 goto done;
8425 in_use |= EV4_IB1;
8427 else
8428 in_use |= EV4_IB0 | EV4_IBX;
8429 break;
8431 case EV4_IB0:
8432 if (in_use & EV4_IB0)
8434 if (!(in_use & EV4_IBX) || (in_use & EV4_IB1))
8435 goto done;
8436 in_use |= EV4_IB1;
8438 in_use |= EV4_IB0;
8439 break;
8441 case EV4_IB1:
8442 if (in_use & EV4_IB1)
8443 goto done;
8444 in_use |= EV4_IB1;
8445 break;
8447 default:
8448 abort();
8450 len += 4;
8452 /* Haifa doesn't do well scheduling branches. */
8453 if (GET_CODE (insn) == JUMP_INSN)
8454 goto next_and_done;
8456 next:
8457 insn = next_nonnote_insn (insn);
8459 if (!insn || ! INSN_P (insn))
8460 goto done;
8462 /* Let Haifa tell us where it thinks insn group boundaries are. */
8463 if (GET_MODE (insn) == TImode)
8464 goto done;
8466 if (GET_CODE (insn) == CLOBBER || GET_CODE (insn) == USE)
8467 goto next;
8470 next_and_done:
8471 insn = next_nonnote_insn (insn);
8473 done:
8474 *plen = len;
8475 *pin_use = in_use;
8476 return insn;
8479 /* IN_USE is a mask of the slots currently filled within the insn group.
8480 The mask bits come from alphaev5_pipe above. If EV5_E01 is set, then
8481 the insn in EV5_E0 can be swapped by the hardware into EV5_E1.
8483 LEN is, of course, the length of the group in bytes. */
8485 static rtx
8486 alphaev5_next_group (rtx insn, int *pin_use, int *plen)
8488 int len, in_use;
8490 len = in_use = 0;
8492 if (! INSN_P (insn)
8493 || GET_CODE (PATTERN (insn)) == CLOBBER
8494 || GET_CODE (PATTERN (insn)) == USE)
8495 goto next_and_done;
8497 while (1)
8499 enum alphaev5_pipe pipe;
8501 pipe = alphaev5_insn_pipe (insn);
8502 switch (pipe)
8504 case EV5_STOP:
8505 /* Force complex instructions to start new groups. */
8506 if (in_use)
8507 goto done;
8509 /* If this is a completely unrecognized insn, its an asm.
8510 We don't know how long it is, so record length as -1 to
8511 signal a needed realignment. */
8512 if (recog_memoized (insn) < 0)
8513 len = -1;
8514 else
8515 len = get_attr_length (insn);
8516 goto next_and_done;
8518 /* ??? Most of the places below, we would like to abort, as
8519 it would indicate an error either in Haifa, or in the
8520 scheduling description. Unfortunately, Haifa never
8521 schedules the last instruction of the BB, so we don't
8522 have an accurate TI bit to go off. */
8523 case EV5_E01:
8524 if (in_use & EV5_E0)
8526 if (in_use & EV5_E1)
8527 goto done;
8528 in_use |= EV5_E1;
8530 else
8531 in_use |= EV5_E0 | EV5_E01;
8532 break;
8534 case EV5_E0:
8535 if (in_use & EV5_E0)
8537 if (!(in_use & EV5_E01) || (in_use & EV5_E1))
8538 goto done;
8539 in_use |= EV5_E1;
8541 in_use |= EV5_E0;
8542 break;
8544 case EV5_E1:
8545 if (in_use & EV5_E1)
8546 goto done;
8547 in_use |= EV5_E1;
8548 break;
8550 case EV5_FAM:
8551 if (in_use & EV5_FA)
8553 if (in_use & EV5_FM)
8554 goto done;
8555 in_use |= EV5_FM;
8557 else
8558 in_use |= EV5_FA | EV5_FAM;
8559 break;
8561 case EV5_FA:
8562 if (in_use & EV5_FA)
8563 goto done;
8564 in_use |= EV5_FA;
8565 break;
8567 case EV5_FM:
8568 if (in_use & EV5_FM)
8569 goto done;
8570 in_use |= EV5_FM;
8571 break;
8573 case EV5_NONE:
8574 break;
8576 default:
8577 abort();
8579 len += 4;
8581 /* Haifa doesn't do well scheduling branches. */
8582 /* ??? If this is predicted not-taken, slotting continues, except
8583 that no more IBR, FBR, or JSR insns may be slotted. */
8584 if (GET_CODE (insn) == JUMP_INSN)
8585 goto next_and_done;
8587 next:
8588 insn = next_nonnote_insn (insn);
8590 if (!insn || ! INSN_P (insn))
8591 goto done;
8593 /* Let Haifa tell us where it thinks insn group boundaries are. */
8594 if (GET_MODE (insn) == TImode)
8595 goto done;
8597 if (GET_CODE (insn) == CLOBBER || GET_CODE (insn) == USE)
8598 goto next;
8601 next_and_done:
8602 insn = next_nonnote_insn (insn);
8604 done:
8605 *plen = len;
8606 *pin_use = in_use;
8607 return insn;
8610 static rtx
8611 alphaev4_next_nop (int *pin_use)
8613 int in_use = *pin_use;
8614 rtx nop;
8616 if (!(in_use & EV4_IB0))
8618 in_use |= EV4_IB0;
8619 nop = gen_nop ();
8621 else if ((in_use & (EV4_IBX|EV4_IB1)) == EV4_IBX)
8623 in_use |= EV4_IB1;
8624 nop = gen_nop ();
8626 else if (TARGET_FP && !(in_use & EV4_IB1))
8628 in_use |= EV4_IB1;
8629 nop = gen_fnop ();
8631 else
8632 nop = gen_unop ();
8634 *pin_use = in_use;
8635 return nop;
8638 static rtx
8639 alphaev5_next_nop (int *pin_use)
8641 int in_use = *pin_use;
8642 rtx nop;
8644 if (!(in_use & EV5_E1))
8646 in_use |= EV5_E1;
8647 nop = gen_nop ();
8649 else if (TARGET_FP && !(in_use & EV5_FA))
8651 in_use |= EV5_FA;
8652 nop = gen_fnop ();
8654 else if (TARGET_FP && !(in_use & EV5_FM))
8656 in_use |= EV5_FM;
8657 nop = gen_fnop ();
8659 else
8660 nop = gen_unop ();
8662 *pin_use = in_use;
8663 return nop;
8666 /* The instruction group alignment main loop. */
8668 static void
8669 alpha_align_insns (unsigned int max_align,
8670 rtx (*next_group) (rtx, int *, int *),
8671 rtx (*next_nop) (int *))
8673 /* ALIGN is the known alignment for the insn group. */
8674 unsigned int align;
8675 /* OFS is the offset of the current insn in the insn group. */
8676 int ofs;
8677 int prev_in_use, in_use, len;
8678 rtx i, next;
8680 /* Let shorten branches care for assigning alignments to code labels. */
8681 shorten_branches (get_insns ());
8683 if (align_functions < 4)
8684 align = 4;
8685 else if ((unsigned int) align_functions < max_align)
8686 align = align_functions;
8687 else
8688 align = max_align;
8690 ofs = prev_in_use = 0;
8691 i = get_insns ();
8692 if (GET_CODE (i) == NOTE)
8693 i = next_nonnote_insn (i);
8695 while (i)
8697 next = (*next_group) (i, &in_use, &len);
8699 /* When we see a label, resync alignment etc. */
8700 if (GET_CODE (i) == CODE_LABEL)
8702 unsigned int new_align = 1 << label_to_alignment (i);
8704 if (new_align >= align)
8706 align = new_align < max_align ? new_align : max_align;
8707 ofs = 0;
8710 else if (ofs & (new_align-1))
8711 ofs = (ofs | (new_align-1)) + 1;
8712 if (len != 0)
8713 abort();
8716 /* Handle complex instructions special. */
8717 else if (in_use == 0)
8719 /* Asms will have length < 0. This is a signal that we have
8720 lost alignment knowledge. Assume, however, that the asm
8721 will not mis-align instructions. */
8722 if (len < 0)
8724 ofs = 0;
8725 align = 4;
8726 len = 0;
8730 /* If the known alignment is smaller than the recognized insn group,
8731 realign the output. */
8732 else if ((int) align < len)
8734 unsigned int new_log_align = len > 8 ? 4 : 3;
8735 rtx prev, where;
8737 where = prev = prev_nonnote_insn (i);
8738 if (!where || GET_CODE (where) != CODE_LABEL)
8739 where = i;
8741 /* Can't realign between a call and its gp reload. */
8742 if (! (TARGET_EXPLICIT_RELOCS
8743 && prev && GET_CODE (prev) == CALL_INSN))
8745 emit_insn_before (gen_realign (GEN_INT (new_log_align)), where);
8746 align = 1 << new_log_align;
8747 ofs = 0;
8751 /* If the group won't fit in the same INT16 as the previous,
8752 we need to add padding to keep the group together. Rather
8753 than simply leaving the insn filling to the assembler, we
8754 can make use of the knowledge of what sorts of instructions
8755 were issued in the previous group to make sure that all of
8756 the added nops are really free. */
8757 else if (ofs + len > (int) align)
8759 int nop_count = (align - ofs) / 4;
8760 rtx where;
8762 /* Insert nops before labels, branches, and calls to truely merge
8763 the execution of the nops with the previous instruction group. */
8764 where = prev_nonnote_insn (i);
8765 if (where)
8767 if (GET_CODE (where) == CODE_LABEL)
8769 rtx where2 = prev_nonnote_insn (where);
8770 if (where2 && GET_CODE (where2) == JUMP_INSN)
8771 where = where2;
8773 else if (GET_CODE (where) == INSN)
8774 where = i;
8776 else
8777 where = i;
8780 emit_insn_before ((*next_nop)(&prev_in_use), where);
8781 while (--nop_count);
8782 ofs = 0;
8785 ofs = (ofs + len) & (align - 1);
8786 prev_in_use = in_use;
8787 i = next;
8791 /* Machine dependent reorg pass. */
8793 static void
8794 alpha_reorg (void)
8796 if (alpha_tp != ALPHA_TP_PROG || flag_exceptions)
8797 alpha_handle_trap_shadows ();
8799 /* Due to the number of extra trapb insns, don't bother fixing up
8800 alignment when trap precision is instruction. Moreover, we can
8801 only do our job when sched2 is run. */
8802 if (optimize && !optimize_size
8803 && alpha_tp != ALPHA_TP_INSN
8804 && flag_schedule_insns_after_reload)
8806 if (alpha_cpu == PROCESSOR_EV4)
8807 alpha_align_insns (8, alphaev4_next_group, alphaev4_next_nop);
8808 else if (alpha_cpu == PROCESSOR_EV5)
8809 alpha_align_insns (16, alphaev5_next_group, alphaev5_next_nop);
8813 #if !TARGET_ABI_UNICOSMK
8815 #ifdef HAVE_STAMP_H
8816 #include <stamp.h>
8817 #endif
8819 static void
8820 alpha_file_start (void)
8822 #ifdef OBJECT_FORMAT_ELF
8823 /* If emitting dwarf2 debug information, we cannot generate a .file
8824 directive to start the file, as it will conflict with dwarf2out
8825 file numbers. So it's only useful when emitting mdebug output. */
8826 targetm.file_start_file_directive = (write_symbols == DBX_DEBUG);
8827 #endif
8829 default_file_start ();
8830 #ifdef MS_STAMP
8831 fprintf (asm_out_file, "\t.verstamp %d %d\n", MS_STAMP, LS_STAMP);
8832 #endif
8834 fputs ("\t.set noreorder\n", asm_out_file);
8835 fputs ("\t.set volatile\n", asm_out_file);
8836 if (!TARGET_ABI_OPEN_VMS)
8837 fputs ("\t.set noat\n", asm_out_file);
8838 if (TARGET_EXPLICIT_RELOCS)
8839 fputs ("\t.set nomacro\n", asm_out_file);
8840 if (TARGET_SUPPORT_ARCH | TARGET_BWX | TARGET_MAX | TARGET_FIX | TARGET_CIX)
8841 fprintf (asm_out_file,
8842 "\t.arch %s\n",
8843 TARGET_CPU_EV6 ? "ev6"
8844 : (TARGET_CPU_EV5
8845 ? (TARGET_MAX ? "pca56" : TARGET_BWX ? "ev56" : "ev5")
8846 : "ev4"));
8848 #endif
8850 #ifdef OBJECT_FORMAT_ELF
8852 /* Switch to the section to which we should output X. The only thing
8853 special we do here is to honor small data. */
8855 static void
8856 alpha_elf_select_rtx_section (enum machine_mode mode, rtx x,
8857 unsigned HOST_WIDE_INT align)
8859 if (TARGET_SMALL_DATA && GET_MODE_SIZE (mode) <= g_switch_value)
8860 /* ??? Consider using mergeable sdata sections. */
8861 sdata_section ();
8862 else
8863 default_elf_select_rtx_section (mode, x, align);
8866 #endif /* OBJECT_FORMAT_ELF */
8868 /* Structure to collect function names for final output in link section. */
8869 /* Note that items marked with GTY can't be ifdef'ed out. */
8871 enum links_kind {KIND_UNUSED, KIND_LOCAL, KIND_EXTERN};
8872 enum reloc_kind {KIND_LINKAGE, KIND_CODEADDR};
8874 struct alpha_links GTY(())
8876 int num;
8877 rtx linkage;
8878 enum links_kind lkind;
8879 enum reloc_kind rkind;
8882 struct alpha_funcs GTY(())
8884 int num;
8885 splay_tree GTY ((param1_is (char *), param2_is (struct alpha_links *)))
8886 links;
8889 static GTY ((param1_is (char *), param2_is (struct alpha_links *)))
8890 splay_tree alpha_links_tree;
8891 static GTY ((param1_is (tree), param2_is (struct alpha_funcs *)))
8892 splay_tree alpha_funcs_tree;
8894 static GTY(()) int alpha_funcs_num;
8896 #if TARGET_ABI_OPEN_VMS
8898 /* Return the VMS argument type corresponding to MODE. */
8900 enum avms_arg_type
8901 alpha_arg_type (enum machine_mode mode)
8903 switch (mode)
8905 case SFmode:
8906 return TARGET_FLOAT_VAX ? FF : FS;
8907 case DFmode:
8908 return TARGET_FLOAT_VAX ? FD : FT;
8909 default:
8910 return I64;
8914 /* Return an rtx for an integer representing the VMS Argument Information
8915 register value. */
8918 alpha_arg_info_reg_val (CUMULATIVE_ARGS cum)
8920 unsigned HOST_WIDE_INT regval = cum.num_args;
8921 int i;
8923 for (i = 0; i < 6; i++)
8924 regval |= ((int) cum.atypes[i]) << (i * 3 + 8);
8926 return GEN_INT (regval);
8929 /* Make (or fake) .linkage entry for function call.
8931 IS_LOCAL is 0 if name is used in call, 1 if name is used in definition.
8933 Return an SYMBOL_REF rtx for the linkage. */
8936 alpha_need_linkage (const char *name, int is_local)
8938 splay_tree_node node;
8939 struct alpha_links *al;
8941 if (name[0] == '*')
8942 name++;
8944 if (is_local)
8946 struct alpha_funcs *cfaf;
8948 if (!alpha_funcs_tree)
8949 alpha_funcs_tree = splay_tree_new_ggc ((splay_tree_compare_fn)
8950 splay_tree_compare_pointers);
8952 cfaf = (struct alpha_funcs *) ggc_alloc (sizeof (struct alpha_funcs));
8954 cfaf->links = 0;
8955 cfaf->num = ++alpha_funcs_num;
8957 splay_tree_insert (alpha_funcs_tree,
8958 (splay_tree_key) current_function_decl,
8959 (splay_tree_value) cfaf);
8962 if (alpha_links_tree)
8964 /* Is this name already defined? */
8966 node = splay_tree_lookup (alpha_links_tree, (splay_tree_key) name);
8967 if (node)
8969 al = (struct alpha_links *) node->value;
8970 if (is_local)
8972 /* Defined here but external assumed. */
8973 if (al->lkind == KIND_EXTERN)
8974 al->lkind = KIND_LOCAL;
8976 else
8978 /* Used here but unused assumed. */
8979 if (al->lkind == KIND_UNUSED)
8980 al->lkind = KIND_LOCAL;
8982 return al->linkage;
8985 else
8986 alpha_links_tree = splay_tree_new_ggc ((splay_tree_compare_fn) strcmp);
8988 al = (struct alpha_links *) ggc_alloc (sizeof (struct alpha_links));
8989 name = ggc_strdup (name);
8991 /* Assume external if no definition. */
8992 al->lkind = (is_local ? KIND_UNUSED : KIND_EXTERN);
8994 /* Ensure we have an IDENTIFIER so assemble_name can mark it used. */
8995 get_identifier (name);
8997 /* Construct a SYMBOL_REF for us to call. */
8999 size_t name_len = strlen (name);
9000 char *linksym = alloca (name_len + 6);
9001 linksym[0] = '$';
9002 memcpy (linksym + 1, name, name_len);
9003 memcpy (linksym + 1 + name_len, "..lk", 5);
9004 al->linkage = gen_rtx_SYMBOL_REF (Pmode,
9005 ggc_alloc_string (linksym, name_len + 5));
9008 splay_tree_insert (alpha_links_tree, (splay_tree_key) name,
9009 (splay_tree_value) al);
9011 return al->linkage;
9015 alpha_use_linkage (rtx linkage, tree cfundecl, int lflag, int rflag)
9017 splay_tree_node cfunnode;
9018 struct alpha_funcs *cfaf;
9019 struct alpha_links *al;
9020 const char *name = XSTR (linkage, 0);
9022 cfaf = (struct alpha_funcs *) 0;
9023 al = (struct alpha_links *) 0;
9025 cfunnode = splay_tree_lookup (alpha_funcs_tree, (splay_tree_key) cfundecl);
9026 cfaf = (struct alpha_funcs *) cfunnode->value;
9028 if (cfaf->links)
9030 splay_tree_node lnode;
9032 /* Is this name already defined? */
9034 lnode = splay_tree_lookup (cfaf->links, (splay_tree_key) name);
9035 if (lnode)
9036 al = (struct alpha_links *) lnode->value;
9038 else
9039 cfaf->links = splay_tree_new_ggc ((splay_tree_compare_fn) strcmp);
9041 if (!al)
9043 size_t name_len;
9044 size_t buflen;
9045 char buf [512];
9046 char *linksym;
9047 splay_tree_node node = 0;
9048 struct alpha_links *anl;
9050 if (name[0] == '*')
9051 name++;
9053 name_len = strlen (name);
9055 al = (struct alpha_links *) ggc_alloc (sizeof (struct alpha_links));
9056 al->num = cfaf->num;
9058 node = splay_tree_lookup (alpha_links_tree, (splay_tree_key) name);
9059 if (node)
9061 anl = (struct alpha_links *) node->value;
9062 al->lkind = anl->lkind;
9065 sprintf (buf, "$%d..%s..lk", cfaf->num, name);
9066 buflen = strlen (buf);
9067 linksym = alloca (buflen + 1);
9068 memcpy (linksym, buf, buflen + 1);
9070 al->linkage = gen_rtx_SYMBOL_REF
9071 (Pmode, ggc_alloc_string (linksym, buflen + 1));
9073 splay_tree_insert (cfaf->links, (splay_tree_key) name,
9074 (splay_tree_value) al);
9077 if (rflag)
9078 al->rkind = KIND_CODEADDR;
9079 else
9080 al->rkind = KIND_LINKAGE;
9082 if (lflag)
9083 return gen_rtx_MEM (Pmode, plus_constant (al->linkage, 8));
9084 else
9085 return al->linkage;
9088 static int
9089 alpha_write_one_linkage (splay_tree_node node, void *data)
9091 const char *const name = (const char *) node->key;
9092 struct alpha_links *link = (struct alpha_links *) node->value;
9093 FILE *stream = (FILE *) data;
9095 fprintf (stream, "$%d..%s..lk:\n", link->num, name);
9096 if (link->rkind == KIND_CODEADDR)
9098 if (link->lkind == KIND_LOCAL)
9100 /* Local and used */
9101 fprintf (stream, "\t.quad %s..en\n", name);
9103 else
9105 /* External and used, request code address. */
9106 fprintf (stream, "\t.code_address %s\n", name);
9109 else
9111 if (link->lkind == KIND_LOCAL)
9113 /* Local and used, build linkage pair. */
9114 fprintf (stream, "\t.quad %s..en\n", name);
9115 fprintf (stream, "\t.quad %s\n", name);
9117 else
9119 /* External and used, request linkage pair. */
9120 fprintf (stream, "\t.linkage %s\n", name);
9124 return 0;
9127 static void
9128 alpha_write_linkage (FILE *stream, const char *funname, tree fundecl)
9130 splay_tree_node node;
9131 struct alpha_funcs *func;
9133 link_section ();
9134 fprintf (stream, "\t.align 3\n");
9135 node = splay_tree_lookup (alpha_funcs_tree, (splay_tree_key) fundecl);
9136 func = (struct alpha_funcs *) node->value;
9138 fputs ("\t.name ", stream);
9139 assemble_name (stream, funname);
9140 fputs ("..na\n", stream);
9141 ASM_OUTPUT_LABEL (stream, funname);
9142 fprintf (stream, "\t.pdesc ");
9143 assemble_name (stream, funname);
9144 fprintf (stream, "..en,%s\n",
9145 alpha_procedure_type == PT_STACK ? "stack"
9146 : alpha_procedure_type == PT_REGISTER ? "reg" : "null");
9148 if (func->links)
9150 splay_tree_foreach (func->links, alpha_write_one_linkage, stream);
9151 /* splay_tree_delete (func->links); */
9155 /* Given a decl, a section name, and whether the decl initializer
9156 has relocs, choose attributes for the section. */
9158 #define SECTION_VMS_OVERLAY SECTION_FORGET
9159 #define SECTION_VMS_GLOBAL SECTION_MACH_DEP
9160 #define SECTION_VMS_INITIALIZE (SECTION_VMS_GLOBAL << 1)
9162 static unsigned int
9163 vms_section_type_flags (tree decl, const char *name, int reloc)
9165 unsigned int flags = default_section_type_flags (decl, name, reloc);
9167 if (decl && DECL_ATTRIBUTES (decl)
9168 && lookup_attribute ("overlaid", DECL_ATTRIBUTES (decl)))
9169 flags |= SECTION_VMS_OVERLAY;
9170 if (decl && DECL_ATTRIBUTES (decl)
9171 && lookup_attribute ("global", DECL_ATTRIBUTES (decl)))
9172 flags |= SECTION_VMS_GLOBAL;
9173 if (decl && DECL_ATTRIBUTES (decl)
9174 && lookup_attribute ("initialize", DECL_ATTRIBUTES (decl)))
9175 flags |= SECTION_VMS_INITIALIZE;
9177 return flags;
9180 /* Switch to an arbitrary section NAME with attributes as specified
9181 by FLAGS. ALIGN specifies any known alignment requirements for
9182 the section; 0 if the default should be used. */
9184 static void
9185 vms_asm_named_section (const char *name, unsigned int flags)
9187 fputc ('\n', asm_out_file);
9188 fprintf (asm_out_file, ".section\t%s", name);
9190 if (flags & SECTION_VMS_OVERLAY)
9191 fprintf (asm_out_file, ",OVR");
9192 if (flags & SECTION_VMS_GLOBAL)
9193 fprintf (asm_out_file, ",GBL");
9194 if (flags & SECTION_VMS_INITIALIZE)
9195 fprintf (asm_out_file, ",NOMOD");
9196 if (flags & SECTION_DEBUG)
9197 fprintf (asm_out_file, ",NOWRT");
9199 fputc ('\n', asm_out_file);
9202 /* Record an element in the table of global constructors. SYMBOL is
9203 a SYMBOL_REF of the function to be called; PRIORITY is a number
9204 between 0 and MAX_INIT_PRIORITY.
9206 Differs from default_ctors_section_asm_out_constructor in that the
9207 width of the .ctors entry is always 64 bits, rather than the 32 bits
9208 used by a normal pointer. */
9210 static void
9211 vms_asm_out_constructor (rtx symbol, int priority ATTRIBUTE_UNUSED)
9213 ctors_section ();
9214 assemble_align (BITS_PER_WORD);
9215 assemble_integer (symbol, UNITS_PER_WORD, BITS_PER_WORD, 1);
9218 static void
9219 vms_asm_out_destructor (rtx symbol, int priority ATTRIBUTE_UNUSED)
9221 dtors_section ();
9222 assemble_align (BITS_PER_WORD);
9223 assemble_integer (symbol, UNITS_PER_WORD, BITS_PER_WORD, 1);
9225 #else
9228 alpha_need_linkage (const char *name ATTRIBUTE_UNUSED,
9229 int is_local ATTRIBUTE_UNUSED)
9231 return NULL_RTX;
9235 alpha_use_linkage (rtx linkage ATTRIBUTE_UNUSED,
9236 tree cfundecl ATTRIBUTE_UNUSED,
9237 int lflag ATTRIBUTE_UNUSED,
9238 int rflag ATTRIBUTE_UNUSED)
9240 return NULL_RTX;
9243 #endif /* TARGET_ABI_OPEN_VMS */
9245 #if TARGET_ABI_UNICOSMK
9247 /* Define the offset between two registers, one to be eliminated, and the
9248 other its replacement, at the start of a routine. */
9251 unicosmk_initial_elimination_offset (int from, int to)
9253 int fixed_size;
9255 fixed_size = alpha_sa_size();
9256 if (fixed_size != 0)
9257 fixed_size += 48;
9259 if (from == FRAME_POINTER_REGNUM && to == HARD_FRAME_POINTER_REGNUM)
9260 return -fixed_size;
9261 else if (from == ARG_POINTER_REGNUM && to == HARD_FRAME_POINTER_REGNUM)
9262 return 0;
9263 else if (from == FRAME_POINTER_REGNUM && to == STACK_POINTER_REGNUM)
9264 return (ALPHA_ROUND (current_function_outgoing_args_size)
9265 + ALPHA_ROUND (get_frame_size()));
9266 else if (from == ARG_POINTER_REGNUM && to == STACK_POINTER_REGNUM)
9267 return (ALPHA_ROUND (fixed_size)
9268 + ALPHA_ROUND (get_frame_size()
9269 + current_function_outgoing_args_size));
9270 else
9271 abort ();
9274 /* Output the module name for .ident and .end directives. We have to strip
9275 directories and add make sure that the module name starts with a letter
9276 or '$'. */
9278 static void
9279 unicosmk_output_module_name (FILE *file)
9281 const char *name = lbasename (main_input_filename);
9282 unsigned len = strlen (name);
9283 char *clean_name = alloca (len + 2);
9284 char *ptr = clean_name;
9286 /* CAM only accepts module names that start with a letter or '$'. We
9287 prefix the module name with a '$' if necessary. */
9289 if (!ISALPHA (*name))
9290 *ptr++ = '$';
9291 memcpy (ptr, name, len + 1);
9292 clean_symbol_name (clean_name);
9293 fputs (clean_name, file);
9296 /* Output the definition of a common variable. */
9298 void
9299 unicosmk_output_common (FILE *file, const char *name, int size, int align)
9301 tree name_tree;
9302 printf ("T3E__: common %s\n", name);
9304 common_section ();
9305 fputs("\t.endp\n\n\t.psect ", file);
9306 assemble_name(file, name);
9307 fprintf(file, ",%d,common\n", floor_log2 (align / BITS_PER_UNIT));
9308 fprintf(file, "\t.byte\t0:%d\n", size);
9310 /* Mark the symbol as defined in this module. */
9311 name_tree = get_identifier (name);
9312 TREE_ASM_WRITTEN (name_tree) = 1;
9315 #define SECTION_PUBLIC SECTION_MACH_DEP
9316 #define SECTION_MAIN (SECTION_PUBLIC << 1)
9317 static int current_section_align;
9319 static unsigned int
9320 unicosmk_section_type_flags (tree decl, const char *name,
9321 int reloc ATTRIBUTE_UNUSED)
9323 unsigned int flags = default_section_type_flags (decl, name, reloc);
9325 if (!decl)
9326 return flags;
9328 if (TREE_CODE (decl) == FUNCTION_DECL)
9330 current_section_align = floor_log2 (FUNCTION_BOUNDARY / BITS_PER_UNIT);
9331 if (align_functions_log > current_section_align)
9332 current_section_align = align_functions_log;
9334 if (! strcmp (IDENTIFIER_POINTER (DECL_ASSEMBLER_NAME (decl)), "main"))
9335 flags |= SECTION_MAIN;
9337 else
9338 current_section_align = floor_log2 (DECL_ALIGN (decl) / BITS_PER_UNIT);
9340 if (TREE_PUBLIC (decl))
9341 flags |= SECTION_PUBLIC;
9343 return flags;
9346 /* Generate a section name for decl and associate it with the
9347 declaration. */
9349 static void
9350 unicosmk_unique_section (tree decl, int reloc ATTRIBUTE_UNUSED)
9352 const char *name;
9353 int len;
9355 if (!decl)
9356 abort ();
9358 name = IDENTIFIER_POINTER (DECL_ASSEMBLER_NAME (decl));
9359 name = default_strip_name_encoding (name);
9360 len = strlen (name);
9362 if (TREE_CODE (decl) == FUNCTION_DECL)
9364 char *string;
9366 /* It is essential that we prefix the section name here because
9367 otherwise the section names generated for constructors and
9368 destructors confuse collect2. */
9370 string = alloca (len + 6);
9371 sprintf (string, "code@%s", name);
9372 DECL_SECTION_NAME (decl) = build_string (len + 5, string);
9374 else if (TREE_PUBLIC (decl))
9375 DECL_SECTION_NAME (decl) = build_string (len, name);
9376 else
9378 char *string;
9380 string = alloca (len + 6);
9381 sprintf (string, "data@%s", name);
9382 DECL_SECTION_NAME (decl) = build_string (len + 5, string);
9386 /* Switch to an arbitrary section NAME with attributes as specified
9387 by FLAGS. ALIGN specifies any known alignment requirements for
9388 the section; 0 if the default should be used. */
9390 static void
9391 unicosmk_asm_named_section (const char *name, unsigned int flags)
9393 const char *kind;
9395 /* Close the previous section. */
9397 fputs ("\t.endp\n\n", asm_out_file);
9399 /* Find out what kind of section we are opening. */
9401 if (flags & SECTION_MAIN)
9402 fputs ("\t.start\tmain\n", asm_out_file);
9404 if (flags & SECTION_CODE)
9405 kind = "code";
9406 else if (flags & SECTION_PUBLIC)
9407 kind = "common";
9408 else
9409 kind = "data";
9411 if (current_section_align != 0)
9412 fprintf (asm_out_file, "\t.psect\t%s,%d,%s\n", name,
9413 current_section_align, kind);
9414 else
9415 fprintf (asm_out_file, "\t.psect\t%s,%s\n", name, kind);
9418 static void
9419 unicosmk_insert_attributes (tree decl, tree *attr_ptr ATTRIBUTE_UNUSED)
9421 if (DECL_P (decl)
9422 && (TREE_PUBLIC (decl) || TREE_CODE (decl) == FUNCTION_DECL))
9423 unicosmk_unique_section (decl, 0);
9426 /* Output an alignment directive. We have to use the macro 'gcc@code@align'
9427 in code sections because .align fill unused space with zeroes. */
9429 void
9430 unicosmk_output_align (FILE *file, int align)
9432 if (inside_function)
9433 fprintf (file, "\tgcc@code@align\t%d\n", align);
9434 else
9435 fprintf (file, "\t.align\t%d\n", align);
9438 /* Add a case vector to the current function's list of deferred case
9439 vectors. Case vectors have to be put into a separate section because CAM
9440 does not allow data definitions in code sections. */
9442 void
9443 unicosmk_defer_case_vector (rtx lab, rtx vec)
9445 struct machine_function *machine = cfun->machine;
9447 vec = gen_rtx_EXPR_LIST (VOIDmode, lab, vec);
9448 machine->addr_list = gen_rtx_EXPR_LIST (VOIDmode, vec,
9449 machine->addr_list);
9452 /* Output a case vector. */
9454 static void
9455 unicosmk_output_addr_vec (FILE *file, rtx vec)
9457 rtx lab = XEXP (vec, 0);
9458 rtx body = XEXP (vec, 1);
9459 int vlen = XVECLEN (body, 0);
9460 int idx;
9462 (*targetm.asm_out.internal_label) (file, "L", CODE_LABEL_NUMBER (lab));
9464 for (idx = 0; idx < vlen; idx++)
9466 ASM_OUTPUT_ADDR_VEC_ELT
9467 (file, CODE_LABEL_NUMBER (XEXP (XVECEXP (body, 0, idx), 0)));
9471 /* Output current function's deferred case vectors. */
9473 static void
9474 unicosmk_output_deferred_case_vectors (FILE *file)
9476 struct machine_function *machine = cfun->machine;
9477 rtx t;
9479 if (machine->addr_list == NULL_RTX)
9480 return;
9482 data_section ();
9483 for (t = machine->addr_list; t; t = XEXP (t, 1))
9484 unicosmk_output_addr_vec (file, XEXP (t, 0));
9487 /* Generate the name of the SSIB section for the current function. */
9489 #define SSIB_PREFIX "__SSIB_"
9490 #define SSIB_PREFIX_LEN 7
9492 static const char *
9493 unicosmk_ssib_name (void)
9495 /* This is ok since CAM won't be able to deal with names longer than that
9496 anyway. */
9498 static char name[256];
9500 rtx x;
9501 const char *fnname;
9502 int len;
9504 x = DECL_RTL (cfun->decl);
9505 if (GET_CODE (x) != MEM)
9506 abort ();
9507 x = XEXP (x, 0);
9508 if (GET_CODE (x) != SYMBOL_REF)
9509 abort ();
9510 fnname = XSTR (x, 0);
9512 len = strlen (fnname);
9513 if (len + SSIB_PREFIX_LEN > 255)
9514 len = 255 - SSIB_PREFIX_LEN;
9516 strcpy (name, SSIB_PREFIX);
9517 strncpy (name + SSIB_PREFIX_LEN, fnname, len);
9518 name[len + SSIB_PREFIX_LEN] = 0;
9520 return name;
9523 /* Set up the dynamic subprogram information block (DSIB) and update the
9524 frame pointer register ($15) for subroutines which have a frame. If the
9525 subroutine doesn't have a frame, simply increment $15. */
9527 static void
9528 unicosmk_gen_dsib (unsigned long *imaskP)
9530 if (alpha_procedure_type == PT_STACK)
9532 const char *ssib_name;
9533 rtx mem;
9535 /* Allocate 64 bytes for the DSIB. */
9537 FRP (emit_insn (gen_adddi3 (stack_pointer_rtx, stack_pointer_rtx,
9538 GEN_INT (-64))));
9539 emit_insn (gen_blockage ());
9541 /* Save the return address. */
9543 mem = gen_rtx_MEM (DImode, plus_constant (stack_pointer_rtx, 56));
9544 set_mem_alias_set (mem, alpha_sr_alias_set);
9545 FRP (emit_move_insn (mem, gen_rtx_REG (DImode, REG_RA)));
9546 (*imaskP) &= ~(1UL << REG_RA);
9548 /* Save the old frame pointer. */
9550 mem = gen_rtx_MEM (DImode, plus_constant (stack_pointer_rtx, 48));
9551 set_mem_alias_set (mem, alpha_sr_alias_set);
9552 FRP (emit_move_insn (mem, hard_frame_pointer_rtx));
9553 (*imaskP) &= ~(1UL << HARD_FRAME_POINTER_REGNUM);
9555 emit_insn (gen_blockage ());
9557 /* Store the SSIB pointer. */
9559 ssib_name = ggc_strdup (unicosmk_ssib_name ());
9560 mem = gen_rtx_MEM (DImode, plus_constant (stack_pointer_rtx, 32));
9561 set_mem_alias_set (mem, alpha_sr_alias_set);
9563 FRP (emit_move_insn (gen_rtx_REG (DImode, 5),
9564 gen_rtx_SYMBOL_REF (Pmode, ssib_name)));
9565 FRP (emit_move_insn (mem, gen_rtx_REG (DImode, 5)));
9567 /* Save the CIW index. */
9569 mem = gen_rtx_MEM (DImode, plus_constant (stack_pointer_rtx, 24));
9570 set_mem_alias_set (mem, alpha_sr_alias_set);
9571 FRP (emit_move_insn (mem, gen_rtx_REG (DImode, 25)));
9573 emit_insn (gen_blockage ());
9575 /* Set the new frame pointer. */
9577 FRP (emit_insn (gen_adddi3 (hard_frame_pointer_rtx,
9578 stack_pointer_rtx, GEN_INT (64))));
9581 else
9583 /* Increment the frame pointer register to indicate that we do not
9584 have a frame. */
9586 FRP (emit_insn (gen_adddi3 (hard_frame_pointer_rtx,
9587 hard_frame_pointer_rtx, GEN_INT (1))));
9591 /* Output the static subroutine information block for the current
9592 function. */
9594 static void
9595 unicosmk_output_ssib (FILE *file, const char *fnname)
9597 int len;
9598 int i;
9599 rtx x;
9600 rtx ciw;
9601 struct machine_function *machine = cfun->machine;
9603 ssib_section ();
9604 fprintf (file, "\t.endp\n\n\t.psect\t%s%s,data\n", user_label_prefix,
9605 unicosmk_ssib_name ());
9607 /* Some required stuff and the function name length. */
9609 len = strlen (fnname);
9610 fprintf (file, "\t.quad\t^X20008%2.2X28\n", len);
9612 /* Saved registers
9613 ??? We don't do that yet. */
9615 fputs ("\t.quad\t0\n", file);
9617 /* Function address. */
9619 fputs ("\t.quad\t", file);
9620 assemble_name (file, fnname);
9621 putc ('\n', file);
9623 fputs ("\t.quad\t0\n", file);
9624 fputs ("\t.quad\t0\n", file);
9626 /* Function name.
9627 ??? We do it the same way Cray CC does it but this could be
9628 simplified. */
9630 for( i = 0; i < len; i++ )
9631 fprintf (file, "\t.byte\t%d\n", (int)(fnname[i]));
9632 if( (len % 8) == 0 )
9633 fputs ("\t.quad\t0\n", file);
9634 else
9635 fprintf (file, "\t.bits\t%d : 0\n", (8 - (len % 8))*8);
9637 /* All call information words used in the function. */
9639 for (x = machine->first_ciw; x; x = XEXP (x, 1))
9641 ciw = XEXP (x, 0);
9642 #if HOST_BITS_PER_WIDE_INT == 32
9643 fprintf (file, "\t.quad\t" HOST_WIDE_INT_PRINT_DOUBLE_HEX "\n",
9644 CONST_DOUBLE_HIGH (ciw), CONST_DOUBLE_LOW (ciw));
9645 #else
9646 fprintf (file, "\t.quad\t" HOST_WIDE_INT_PRINT_HEX "\n", INTVAL (ciw));
9647 #endif
9651 /* Add a call information word (CIW) to the list of the current function's
9652 CIWs and return its index.
9654 X is a CONST_INT or CONST_DOUBLE representing the CIW. */
9657 unicosmk_add_call_info_word (rtx x)
9659 rtx node;
9660 struct machine_function *machine = cfun->machine;
9662 node = gen_rtx_EXPR_LIST (VOIDmode, x, NULL_RTX);
9663 if (machine->first_ciw == NULL_RTX)
9664 machine->first_ciw = node;
9665 else
9666 XEXP (machine->last_ciw, 1) = node;
9668 machine->last_ciw = node;
9669 ++machine->ciw_count;
9671 return GEN_INT (machine->ciw_count
9672 + strlen (current_function_name)/8 + 5);
9675 static char unicosmk_section_buf[100];
9677 char *
9678 unicosmk_text_section (void)
9680 static int count = 0;
9681 sprintf (unicosmk_section_buf, "\t.endp\n\n\t.psect\tgcc@text___%d,code",
9682 count++);
9683 return unicosmk_section_buf;
9686 char *
9687 unicosmk_data_section (void)
9689 static int count = 1;
9690 sprintf (unicosmk_section_buf, "\t.endp\n\n\t.psect\tgcc@data___%d,data",
9691 count++);
9692 return unicosmk_section_buf;
9695 /* The Cray assembler doesn't accept extern declarations for symbols which
9696 are defined in the same file. We have to keep track of all global
9697 symbols which are referenced and/or defined in a source file and output
9698 extern declarations for those which are referenced but not defined at
9699 the end of file. */
9701 /* List of identifiers for which an extern declaration might have to be
9702 emitted. */
9703 /* FIXME: needs to use GC, so it can be saved and restored for PCH. */
9705 struct unicosmk_extern_list
9707 struct unicosmk_extern_list *next;
9708 const char *name;
9711 static struct unicosmk_extern_list *unicosmk_extern_head = 0;
9713 /* Output extern declarations which are required for every asm file. */
9715 static void
9716 unicosmk_output_default_externs (FILE *file)
9718 static const char *const externs[] =
9719 { "__T3E_MISMATCH" };
9721 int i;
9722 int n;
9724 n = ARRAY_SIZE (externs);
9726 for (i = 0; i < n; i++)
9727 fprintf (file, "\t.extern\t%s\n", externs[i]);
9730 /* Output extern declarations for global symbols which are have been
9731 referenced but not defined. */
9733 static void
9734 unicosmk_output_externs (FILE *file)
9736 struct unicosmk_extern_list *p;
9737 const char *real_name;
9738 int len;
9739 tree name_tree;
9741 len = strlen (user_label_prefix);
9742 for (p = unicosmk_extern_head; p != 0; p = p->next)
9744 /* We have to strip the encoding and possibly remove user_label_prefix
9745 from the identifier in order to handle -fleading-underscore and
9746 explicit asm names correctly (cf. gcc.dg/asm-names-1.c). */
9747 real_name = default_strip_name_encoding (p->name);
9748 if (len && p->name[0] == '*'
9749 && !memcmp (real_name, user_label_prefix, len))
9750 real_name += len;
9752 name_tree = get_identifier (real_name);
9753 if (! TREE_ASM_WRITTEN (name_tree))
9755 TREE_ASM_WRITTEN (name_tree) = 1;
9756 fputs ("\t.extern\t", file);
9757 assemble_name (file, p->name);
9758 putc ('\n', file);
9763 /* Record an extern. */
9765 void
9766 unicosmk_add_extern (const char *name)
9768 struct unicosmk_extern_list *p;
9770 p = (struct unicosmk_extern_list *)
9771 xmalloc (sizeof (struct unicosmk_extern_list));
9772 p->next = unicosmk_extern_head;
9773 p->name = name;
9774 unicosmk_extern_head = p;
9777 /* The Cray assembler generates incorrect code if identifiers which
9778 conflict with register names are used as instruction operands. We have
9779 to replace such identifiers with DEX expressions. */
9781 /* Structure to collect identifiers which have been replaced by DEX
9782 expressions. */
9783 /* FIXME: needs to use GC, so it can be saved and restored for PCH. */
9785 struct unicosmk_dex {
9786 struct unicosmk_dex *next;
9787 const char *name;
9790 /* List of identifiers which have been replaced by DEX expressions. The DEX
9791 number is determined by the position in the list. */
9793 static struct unicosmk_dex *unicosmk_dex_list = NULL;
9795 /* The number of elements in the DEX list. */
9797 static int unicosmk_dex_count = 0;
9799 /* Check if NAME must be replaced by a DEX expression. */
9801 static int
9802 unicosmk_special_name (const char *name)
9804 if (name[0] == '*')
9805 ++name;
9807 if (name[0] == '$')
9808 ++name;
9810 if (name[0] != 'r' && name[0] != 'f' && name[0] != 'R' && name[0] != 'F')
9811 return 0;
9813 switch (name[1])
9815 case '1': case '2':
9816 return (name[2] == '\0' || (ISDIGIT (name[2]) && name[3] == '\0'));
9818 case '3':
9819 return (name[2] == '\0'
9820 || ((name[2] == '0' || name[2] == '1') && name[3] == '\0'));
9822 default:
9823 return (ISDIGIT (name[1]) && name[2] == '\0');
9827 /* Return the DEX number if X must be replaced by a DEX expression and 0
9828 otherwise. */
9830 static int
9831 unicosmk_need_dex (rtx x)
9833 struct unicosmk_dex *dex;
9834 const char *name;
9835 int i;
9837 if (GET_CODE (x) != SYMBOL_REF)
9838 return 0;
9840 name = XSTR (x,0);
9841 if (! unicosmk_special_name (name))
9842 return 0;
9844 i = unicosmk_dex_count;
9845 for (dex = unicosmk_dex_list; dex; dex = dex->next)
9847 if (! strcmp (name, dex->name))
9848 return i;
9849 --i;
9852 dex = (struct unicosmk_dex *) xmalloc (sizeof (struct unicosmk_dex));
9853 dex->name = name;
9854 dex->next = unicosmk_dex_list;
9855 unicosmk_dex_list = dex;
9857 ++unicosmk_dex_count;
9858 return unicosmk_dex_count;
9861 /* Output the DEX definitions for this file. */
9863 static void
9864 unicosmk_output_dex (FILE *file)
9866 struct unicosmk_dex *dex;
9867 int i;
9869 if (unicosmk_dex_list == NULL)
9870 return;
9872 fprintf (file, "\t.dexstart\n");
9874 i = unicosmk_dex_count;
9875 for (dex = unicosmk_dex_list; dex; dex = dex->next)
9877 fprintf (file, "\tDEX (%d) = ", i);
9878 assemble_name (file, dex->name);
9879 putc ('\n', file);
9880 --i;
9883 fprintf (file, "\t.dexend\n");
9886 /* Output text that to appear at the beginning of an assembler file. */
9888 static void
9889 unicosmk_file_start (void)
9891 int i;
9893 fputs ("\t.ident\t", asm_out_file);
9894 unicosmk_output_module_name (asm_out_file);
9895 fputs ("\n\n", asm_out_file);
9897 /* The Unicos/Mk assembler uses different register names. Instead of trying
9898 to support them, we simply use micro definitions. */
9900 /* CAM has different register names: rN for the integer register N and fN
9901 for the floating-point register N. Instead of trying to use these in
9902 alpha.md, we define the symbols $N and $fN to refer to the appropriate
9903 register. */
9905 for (i = 0; i < 32; ++i)
9906 fprintf (asm_out_file, "$%d <- r%d\n", i, i);
9908 for (i = 0; i < 32; ++i)
9909 fprintf (asm_out_file, "$f%d <- f%d\n", i, i);
9911 putc ('\n', asm_out_file);
9913 /* The .align directive fill unused space with zeroes which does not work
9914 in code sections. We define the macro 'gcc@code@align' which uses nops
9915 instead. Note that it assumes that code sections always have the
9916 biggest possible alignment since . refers to the current offset from
9917 the beginning of the section. */
9919 fputs ("\t.macro gcc@code@align n\n", asm_out_file);
9920 fputs ("gcc@n@bytes = 1 << n\n", asm_out_file);
9921 fputs ("gcc@here = . % gcc@n@bytes\n", asm_out_file);
9922 fputs ("\t.if ne, gcc@here, 0\n", asm_out_file);
9923 fputs ("\t.repeat (gcc@n@bytes - gcc@here) / 4\n", asm_out_file);
9924 fputs ("\tbis r31,r31,r31\n", asm_out_file);
9925 fputs ("\t.endr\n", asm_out_file);
9926 fputs ("\t.endif\n", asm_out_file);
9927 fputs ("\t.endm gcc@code@align\n\n", asm_out_file);
9929 /* Output extern declarations which should always be visible. */
9930 unicosmk_output_default_externs (asm_out_file);
9932 /* Open a dummy section. We always need to be inside a section for the
9933 section-switching code to work correctly.
9934 ??? This should be a module id or something like that. I still have to
9935 figure out what the rules for those are. */
9936 fputs ("\n\t.psect\t$SG00000,data\n", asm_out_file);
9939 /* Output text to appear at the end of an assembler file. This includes all
9940 pending extern declarations and DEX expressions. */
9942 static void
9943 unicosmk_file_end (void)
9945 fputs ("\t.endp\n\n", asm_out_file);
9947 /* Output all pending externs. */
9949 unicosmk_output_externs (asm_out_file);
9951 /* Output dex definitions used for functions whose names conflict with
9952 register names. */
9954 unicosmk_output_dex (asm_out_file);
9956 fputs ("\t.end\t", asm_out_file);
9957 unicosmk_output_module_name (asm_out_file);
9958 putc ('\n', asm_out_file);
9961 #else
9963 static void
9964 unicosmk_output_deferred_case_vectors (FILE *file ATTRIBUTE_UNUSED)
9967 static void
9968 unicosmk_gen_dsib (unsigned long *imaskP ATTRIBUTE_UNUSED)
9971 static void
9972 unicosmk_output_ssib (FILE * file ATTRIBUTE_UNUSED,
9973 const char * fnname ATTRIBUTE_UNUSED)
9977 unicosmk_add_call_info_word (rtx x ATTRIBUTE_UNUSED)
9979 return NULL_RTX;
9982 static int
9983 unicosmk_need_dex (rtx x ATTRIBUTE_UNUSED)
9985 return 0;
9988 #endif /* TARGET_ABI_UNICOSMK */
9991 /* Initialize the GCC target structure. */
9992 #if TARGET_ABI_OPEN_VMS
9993 # undef TARGET_ATTRIBUTE_TABLE
9994 # define TARGET_ATTRIBUTE_TABLE vms_attribute_table
9995 # undef TARGET_SECTION_TYPE_FLAGS
9996 # define TARGET_SECTION_TYPE_FLAGS vms_section_type_flags
9997 #endif
9999 #undef TARGET_IN_SMALL_DATA_P
10000 #define TARGET_IN_SMALL_DATA_P alpha_in_small_data_p
10002 #if TARGET_ABI_UNICOSMK
10003 # undef TARGET_INSERT_ATTRIBUTES
10004 # define TARGET_INSERT_ATTRIBUTES unicosmk_insert_attributes
10005 # undef TARGET_SECTION_TYPE_FLAGS
10006 # define TARGET_SECTION_TYPE_FLAGS unicosmk_section_type_flags
10007 # undef TARGET_ASM_UNIQUE_SECTION
10008 # define TARGET_ASM_UNIQUE_SECTION unicosmk_unique_section
10009 # undef TARGET_ASM_GLOBALIZE_LABEL
10010 # define TARGET_ASM_GLOBALIZE_LABEL hook_void_FILEptr_constcharptr
10011 #endif
10013 #undef TARGET_ASM_ALIGNED_HI_OP
10014 #define TARGET_ASM_ALIGNED_HI_OP "\t.word\t"
10015 #undef TARGET_ASM_ALIGNED_DI_OP
10016 #define TARGET_ASM_ALIGNED_DI_OP "\t.quad\t"
10018 /* Default unaligned ops are provided for ELF systems. To get unaligned
10019 data for non-ELF systems, we have to turn off auto alignment. */
10020 #ifndef OBJECT_FORMAT_ELF
10021 #undef TARGET_ASM_UNALIGNED_HI_OP
10022 #define TARGET_ASM_UNALIGNED_HI_OP "\t.align 0\n\t.word\t"
10023 #undef TARGET_ASM_UNALIGNED_SI_OP
10024 #define TARGET_ASM_UNALIGNED_SI_OP "\t.align 0\n\t.long\t"
10025 #undef TARGET_ASM_UNALIGNED_DI_OP
10026 #define TARGET_ASM_UNALIGNED_DI_OP "\t.align 0\n\t.quad\t"
10027 #endif
10029 #ifdef OBJECT_FORMAT_ELF
10030 #undef TARGET_ASM_SELECT_RTX_SECTION
10031 #define TARGET_ASM_SELECT_RTX_SECTION alpha_elf_select_rtx_section
10032 #endif
10034 #undef TARGET_ASM_FUNCTION_END_PROLOGUE
10035 #define TARGET_ASM_FUNCTION_END_PROLOGUE alpha_output_function_end_prologue
10037 #if TARGET_ABI_UNICOSMK
10038 #undef TARGET_ASM_FILE_START
10039 #define TARGET_ASM_FILE_START unicosmk_file_start
10040 #undef TARGET_ASM_FILE_END
10041 #define TARGET_ASM_FILE_END unicosmk_file_end
10042 #else
10043 #undef TARGET_ASM_FILE_START
10044 #define TARGET_ASM_FILE_START alpha_file_start
10045 #undef TARGET_ASM_FILE_START_FILE_DIRECTIVE
10046 #define TARGET_ASM_FILE_START_FILE_DIRECTIVE true
10047 #endif
10049 #undef TARGET_SCHED_ADJUST_COST
10050 #define TARGET_SCHED_ADJUST_COST alpha_adjust_cost
10051 #undef TARGET_SCHED_ISSUE_RATE
10052 #define TARGET_SCHED_ISSUE_RATE alpha_issue_rate
10053 #undef TARGET_SCHED_USE_DFA_PIPELINE_INTERFACE
10054 #define TARGET_SCHED_USE_DFA_PIPELINE_INTERFACE \
10055 alpha_use_dfa_pipeline_interface
10056 #undef TARGET_SCHED_FIRST_CYCLE_MULTIPASS_DFA_LOOKAHEAD
10057 #define TARGET_SCHED_FIRST_CYCLE_MULTIPASS_DFA_LOOKAHEAD \
10058 alpha_multipass_dfa_lookahead
10060 #undef TARGET_HAVE_TLS
10061 #define TARGET_HAVE_TLS HAVE_AS_TLS
10063 #undef TARGET_INIT_BUILTINS
10064 #define TARGET_INIT_BUILTINS alpha_init_builtins
10065 #undef TARGET_EXPAND_BUILTIN
10066 #define TARGET_EXPAND_BUILTIN alpha_expand_builtin
10068 #undef TARGET_FUNCTION_OK_FOR_SIBCALL
10069 #define TARGET_FUNCTION_OK_FOR_SIBCALL alpha_function_ok_for_sibcall
10070 #undef TARGET_CANNOT_COPY_INSN_P
10071 #define TARGET_CANNOT_COPY_INSN_P alpha_cannot_copy_insn_p
10073 #if TARGET_ABI_OSF
10074 #undef TARGET_ASM_OUTPUT_MI_THUNK
10075 #define TARGET_ASM_OUTPUT_MI_THUNK alpha_output_mi_thunk_osf
10076 #undef TARGET_ASM_CAN_OUTPUT_MI_THUNK
10077 #define TARGET_ASM_CAN_OUTPUT_MI_THUNK hook_bool_tree_hwi_hwi_tree_true
10078 #endif
10080 #undef TARGET_RTX_COSTS
10081 #define TARGET_RTX_COSTS alpha_rtx_costs
10082 #undef TARGET_ADDRESS_COST
10083 #define TARGET_ADDRESS_COST hook_int_rtx_0
10085 #undef TARGET_MACHINE_DEPENDENT_REORG
10086 #define TARGET_MACHINE_DEPENDENT_REORG alpha_reorg
10088 struct gcc_target targetm = TARGET_INITIALIZER;
10091 #include "gt-alpha.h"