Clean up warnings for round() function declaration.
[iverilog.git] / libveriuser / a_fetch_range.c
blob6be4debb321471cdfb8cf1174f598861b0f40751
1 /* vi:sw=6
2 * Copyright (c) 2003 Michael Ruff (mruff at chiaro.com)
4 * This source code is free software; you can redistribute it
5 * and/or modify it in source code form under the terms of the GNU
6 * General Public License as published by the Free Software
7 * Foundation; either version 2 of the License, or (at your option)
8 * any later version.
10 * This program is distributed in the hope that it will be useful,
11 * but WITHOUT ANY WARRANTY; without even the implied warranty of
12 * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
13 * GNU General Public License for more details.
15 * You should have received a copy of the GNU General Public License
16 * along with this program; if not, write to the Free Software
17 * Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA 02111-1307, USA
19 #ifdef HAVE_CVS_IDENT
20 #ident "$Id: a_fetch_range.c,v 1.2 2004/02/18 02:51:59 steve Exp $"
21 #endif
23 #include <vpi_user.h>
24 #include <acc_user.h>
27 * acc_fetch_range implemented using VPI interface
29 PLI_INT32 acc_fetch_range(handle object, int *msb, int *lsb)
31 *msb = vpi_get(vpiLeftRange, object);
32 *lsb = vpi_get(vpiRightRange, object);
33 return 0;
37 * $Log: a_fetch_range.c,v $
38 * Revision 1.2 2004/02/18 02:51:59 steve
39 * Fix type mismatches of various VPI functions.
41 * Revision 1.1 2003/06/04 01:56:20 steve
42 * 1) Adds configure logic to clean up compiler warnings
43 * 2) adds acc_compare_handle, acc_fetch_range, acc_next_scope and
44 * tf_isetrealdelay, acc_handle_scope
45 * 3) makes acc_next reentrant
46 * 4) adds basic vpiWire type support
47 * 5) fills in some acc_object_of_type() and acc_fetch_{full}type()
48 * 6) add vpiLeftRange/RigthRange to signals