verilog: add sv_maps iterators
[ghdl-vlg.git] / .btd.yml
blobf241858a586b4cd9649540492b344b304f41db53
1 input: doc
2 output: _build
3 requirements: requirements.txt
4 target: gh-pages
5 formats: [ html, pdf, man ]
6 images:
7   base: ghdl/doc
8   latex: btdi/latex
9 theme: https://codeload.github.com/buildthedocs/sphinx.theme/tar.gz/v1