DE2-70: Updated to the new structure
[yari.git] / DE2-70 / rtl / mega / video_pll.v
blob486d8f03b59efac70c83480cb22a757fa8c30085
1 // megafunction wizard: %ALTPLL%
2 // GENERATION: STANDARD
3 // VERSION: WM1.0
4 // MODULE: altpll
6 // ============================================================
7 // File Name: video_pll.v
8 // Megafunction Name(s):
9 // altpll
11 // Simulation Library Files(s):
12 // altera_mf
13 // ============================================================
14 // ************************************************************
15 // THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
17 // 8.1 Build 163 10/28/2008 SJ Web Edition
18 // ************************************************************
21 //Copyright (C) 1991-2008 Altera Corporation
22 //Your use of Altera Corporation's design tools, logic functions
23 //and other software and tools, and its AMPP partner logic
24 //functions, and any output files from any of the foregoing
25 //(including device programming or simulation files), and any
26 //associated documentation or information are expressly subject
27 //to the terms and conditions of the Altera Program License
28 //Subscription Agreement, Altera MegaCore Function License
29 //Agreement, or other applicable license agreement, including,
30 //without limitation, that your use is for the sole purpose of
31 //programming logic devices manufactured by Altera and sold by
32 //Altera or its authorized distributors. Please refer to the
33 //applicable agreement for further details.
36 // synopsys translate_off
37 `timescale 1 ps / 1 ps
38 // synopsys translate_on
39 module video_pll (
40 inclk0,
41 c0);
43 input inclk0;
44 output c0;
46 wire [5:0] sub_wire0;
47 wire [0:0] sub_wire4 = 1'h0;
48 wire [0:0] sub_wire1 = sub_wire0[0:0];
49 wire c0 = sub_wire1;
50 wire sub_wire2 = inclk0;
51 wire [1:0] sub_wire3 = {sub_wire4, sub_wire2};
53 altpll altpll_component (
54 .inclk (sub_wire3),
55 .clk (sub_wire0),
56 .activeclock (),
57 .areset (1'b0),
58 .clkbad (),
59 .clkena ({6{1'b1}}),
60 .clkloss (),
61 .clkswitch (1'b0),
62 .configupdate (1'b0),
63 .enable0 (),
64 .enable1 (),
65 .extclk (),
66 .extclkena ({4{1'b1}}),
67 .fbin (1'b1),
68 .fbmimicbidir (),
69 .fbout (),
70 .locked (),
71 .pfdena (1'b1),
72 .phasecounterselect ({4{1'b1}}),
73 .phasedone (),
74 .phasestep (1'b1),
75 .phaseupdown (1'b1),
76 .pllena (1'b1),
77 .scanaclr (1'b0),
78 .scanclk (1'b0),
79 .scanclkena (1'b1),
80 .scandata (1'b0),
81 .scandataout (),
82 .scandone (),
83 .scanread (1'b0),
84 .scanwrite (1'b0),
85 .sclkout0 (),
86 .sclkout1 (),
87 .vcooverrange (),
88 .vcounderrange ());
89 defparam
90 altpll_component.clk0_divide_by = 10,
91 altpll_component.clk0_duty_cycle = 50,
92 altpll_component.clk0_multiply_by = 13,
93 altpll_component.clk0_phase_shift = "0",
94 altpll_component.compensate_clock = "CLK0",
95 altpll_component.inclk0_input_frequency = 20000,
96 altpll_component.intended_device_family = "Cyclone II",
97 altpll_component.lpm_type = "altpll",
98 altpll_component.operation_mode = "NORMAL",
99 altpll_component.port_activeclock = "PORT_UNUSED",
100 altpll_component.port_areset = "PORT_UNUSED",
101 altpll_component.port_clkbad0 = "PORT_UNUSED",
102 altpll_component.port_clkbad1 = "PORT_UNUSED",
103 altpll_component.port_clkloss = "PORT_UNUSED",
104 altpll_component.port_clkswitch = "PORT_UNUSED",
105 altpll_component.port_configupdate = "PORT_UNUSED",
106 altpll_component.port_fbin = "PORT_UNUSED",
107 altpll_component.port_inclk0 = "PORT_USED",
108 altpll_component.port_inclk1 = "PORT_UNUSED",
109 altpll_component.port_locked = "PORT_UNUSED",
110 altpll_component.port_pfdena = "PORT_UNUSED",
111 altpll_component.port_phasecounterselect = "PORT_UNUSED",
112 altpll_component.port_phasedone = "PORT_UNUSED",
113 altpll_component.port_phasestep = "PORT_UNUSED",
114 altpll_component.port_phaseupdown = "PORT_UNUSED",
115 altpll_component.port_pllena = "PORT_UNUSED",
116 altpll_component.port_scanaclr = "PORT_UNUSED",
117 altpll_component.port_scanclk = "PORT_UNUSED",
118 altpll_component.port_scanclkena = "PORT_UNUSED",
119 altpll_component.port_scandata = "PORT_UNUSED",
120 altpll_component.port_scandataout = "PORT_UNUSED",
121 altpll_component.port_scandone = "PORT_UNUSED",
122 altpll_component.port_scanread = "PORT_UNUSED",
123 altpll_component.port_scanwrite = "PORT_UNUSED",
124 altpll_component.port_clk0 = "PORT_USED",
125 altpll_component.port_clk1 = "PORT_UNUSED",
126 altpll_component.port_clk2 = "PORT_UNUSED",
127 altpll_component.port_clk3 = "PORT_UNUSED",
128 altpll_component.port_clk4 = "PORT_UNUSED",
129 altpll_component.port_clk5 = "PORT_UNUSED",
130 altpll_component.port_clkena0 = "PORT_UNUSED",
131 altpll_component.port_clkena1 = "PORT_UNUSED",
132 altpll_component.port_clkena2 = "PORT_UNUSED",
133 altpll_component.port_clkena3 = "PORT_UNUSED",
134 altpll_component.port_clkena4 = "PORT_UNUSED",
135 altpll_component.port_clkena5 = "PORT_UNUSED",
136 altpll_component.port_extclk0 = "PORT_UNUSED",
137 altpll_component.port_extclk1 = "PORT_UNUSED",
138 altpll_component.port_extclk2 = "PORT_UNUSED",
139 altpll_component.port_extclk3 = "PORT_UNUSED";
142 endmodule
144 // ============================================================
145 // CNX file retrieval info
146 // ============================================================
147 // Retrieval info: PRIVATE: ACTIVECLK_CHECK STRING "0"
148 // Retrieval info: PRIVATE: BANDWIDTH STRING "1.000"
149 // Retrieval info: PRIVATE: BANDWIDTH_FEATURE_ENABLED STRING "0"
150 // Retrieval info: PRIVATE: BANDWIDTH_FREQ_UNIT STRING "MHz"
151 // Retrieval info: PRIVATE: BANDWIDTH_PRESET STRING "Low"
152 // Retrieval info: PRIVATE: BANDWIDTH_USE_AUTO STRING "1"
153 // Retrieval info: PRIVATE: BANDWIDTH_USE_CUSTOM STRING "0"
154 // Retrieval info: PRIVATE: BANDWIDTH_USE_PRESET STRING "0"
155 // Retrieval info: PRIVATE: CLKBAD_SWITCHOVER_CHECK STRING "0"
156 // Retrieval info: PRIVATE: CLKLOSS_CHECK STRING "0"
157 // Retrieval info: PRIVATE: CLKSWITCH_CHECK STRING "1"
158 // Retrieval info: PRIVATE: CNX_NO_COMPENSATE_RADIO STRING "0"
159 // Retrieval info: PRIVATE: CREATE_CLKBAD_CHECK STRING "0"
160 // Retrieval info: PRIVATE: CREATE_INCLK1_CHECK STRING "0"
161 // Retrieval info: PRIVATE: CUR_DEDICATED_CLK STRING "c0"
162 // Retrieval info: PRIVATE: CUR_FBIN_CLK STRING "e0"
163 // Retrieval info: PRIVATE: DEVICE_SPEED_GRADE STRING "6"
164 // Retrieval info: PRIVATE: DIV_FACTOR0 NUMERIC "1"
165 // Retrieval info: PRIVATE: DUTY_CYCLE0 STRING "50.00000000"
166 // Retrieval info: PRIVATE: EXPLICIT_SWITCHOVER_COUNTER STRING "0"
167 // Retrieval info: PRIVATE: EXT_FEEDBACK_RADIO STRING "0"
168 // Retrieval info: PRIVATE: GLOCKED_COUNTER_EDIT_CHANGED STRING "1"
169 // Retrieval info: PRIVATE: GLOCKED_FEATURE_ENABLED STRING "1"
170 // Retrieval info: PRIVATE: GLOCKED_MODE_CHECK STRING "0"
171 // Retrieval info: PRIVATE: GLOCK_COUNTER_EDIT NUMERIC "1048575"
172 // Retrieval info: PRIVATE: HAS_MANUAL_SWITCHOVER STRING "1"
173 // Retrieval info: PRIVATE: INCLK0_FREQ_EDIT STRING "50.000"
174 // Retrieval info: PRIVATE: INCLK0_FREQ_UNIT_COMBO STRING "MHz"
175 // Retrieval info: PRIVATE: INCLK1_FREQ_EDIT STRING "100.000"
176 // Retrieval info: PRIVATE: INCLK1_FREQ_EDIT_CHANGED STRING "1"
177 // Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_CHANGED STRING "1"
178 // Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_COMBO STRING "MHz"
179 // Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone II"
180 // Retrieval info: PRIVATE: INT_FEEDBACK__MODE_RADIO STRING "1"
181 // Retrieval info: PRIVATE: LOCKED_OUTPUT_CHECK STRING "0"
182 // Retrieval info: PRIVATE: LONG_SCAN_RADIO STRING "1"
183 // Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE STRING "300.000"
184 // Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE_DIRTY NUMERIC "0"
185 // Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT0 STRING "deg"
186 // Retrieval info: PRIVATE: MIG_DEVICE_SPEED_GRADE STRING "Any"
187 // Retrieval info: PRIVATE: MIRROR_CLK0 STRING "0"
188 // Retrieval info: PRIVATE: MULT_FACTOR0 NUMERIC "1"
189 // Retrieval info: PRIVATE: NORMAL_MODE_RADIO STRING "1"
190 // Retrieval info: PRIVATE: OUTPUT_FREQ0 STRING "65.00000000"
191 // Retrieval info: PRIVATE: OUTPUT_FREQ_MODE0 STRING "1"
192 // Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT0 STRING "MHz"
193 // Retrieval info: PRIVATE: PHASE_RECONFIG_FEATURE_ENABLED STRING "0"
194 // Retrieval info: PRIVATE: PHASE_RECONFIG_INPUTS_CHECK STRING "0"
195 // Retrieval info: PRIVATE: PHASE_SHIFT0 STRING "0.00000000"
196 // Retrieval info: PRIVATE: PHASE_SHIFT_STEP_ENABLED_CHECK STRING "0"
197 // Retrieval info: PRIVATE: PHASE_SHIFT_UNIT0 STRING "deg"
198 // Retrieval info: PRIVATE: PLL_ADVANCED_PARAM_CHECK STRING "0"
199 // Retrieval info: PRIVATE: PLL_ARESET_CHECK STRING "0"
200 // Retrieval info: PRIVATE: PLL_AUTOPLL_CHECK NUMERIC "1"
201 // Retrieval info: PRIVATE: PLL_ENA_CHECK STRING "0"
202 // Retrieval info: PRIVATE: PLL_ENHPLL_CHECK NUMERIC "0"
203 // Retrieval info: PRIVATE: PLL_FASTPLL_CHECK NUMERIC "0"
204 // Retrieval info: PRIVATE: PLL_FBMIMIC_CHECK STRING "0"
205 // Retrieval info: PRIVATE: PLL_LVDS_PLL_CHECK NUMERIC "0"
206 // Retrieval info: PRIVATE: PLL_PFDENA_CHECK STRING "0"
207 // Retrieval info: PRIVATE: PLL_TARGET_HARCOPY_CHECK NUMERIC "0"
208 // Retrieval info: PRIVATE: PRIMARY_CLK_COMBO STRING "inclk0"
209 // Retrieval info: PRIVATE: RECONFIG_FILE STRING "video_pll.mif"
210 // Retrieval info: PRIVATE: SACN_INPUTS_CHECK STRING "0"
211 // Retrieval info: PRIVATE: SCAN_FEATURE_ENABLED STRING "0"
212 // Retrieval info: PRIVATE: SELF_RESET_LOCK_LOSS STRING "0"
213 // Retrieval info: PRIVATE: SHORT_SCAN_RADIO STRING "0"
214 // Retrieval info: PRIVATE: SPREAD_FEATURE_ENABLED STRING "0"
215 // Retrieval info: PRIVATE: SPREAD_FREQ STRING "50.000"
216 // Retrieval info: PRIVATE: SPREAD_FREQ_UNIT STRING "KHz"
217 // Retrieval info: PRIVATE: SPREAD_PERCENT STRING "0.500"
218 // Retrieval info: PRIVATE: SPREAD_USE STRING "0"
219 // Retrieval info: PRIVATE: SRC_SYNCH_COMP_RADIO STRING "0"
220 // Retrieval info: PRIVATE: STICKY_CLK0 STRING "1"
221 // Retrieval info: PRIVATE: SWITCHOVER_COUNT_EDIT NUMERIC "1"
222 // Retrieval info: PRIVATE: SWITCHOVER_FEATURE_ENABLED STRING "1"
223 // Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0"
224 // Retrieval info: PRIVATE: USE_CLK0 STRING "1"
225 // Retrieval info: PRIVATE: USE_CLKENA0 STRING "0"
226 // Retrieval info: PRIVATE: USE_MIL_SPEED_GRADE NUMERIC "0"
227 // Retrieval info: PRIVATE: ZERO_DELAY_RADIO STRING "0"
228 // Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
229 // Retrieval info: CONSTANT: CLK0_DIVIDE_BY NUMERIC "10"
230 // Retrieval info: CONSTANT: CLK0_DUTY_CYCLE NUMERIC "50"
231 // Retrieval info: CONSTANT: CLK0_MULTIPLY_BY NUMERIC "13"
232 // Retrieval info: CONSTANT: CLK0_PHASE_SHIFT STRING "0"
233 // Retrieval info: CONSTANT: COMPENSATE_CLOCK STRING "CLK0"
234 // Retrieval info: CONSTANT: INCLK0_INPUT_FREQUENCY NUMERIC "20000"
235 // Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone II"
236 // Retrieval info: CONSTANT: LPM_TYPE STRING "altpll"
237 // Retrieval info: CONSTANT: OPERATION_MODE STRING "NORMAL"
238 // Retrieval info: CONSTANT: PORT_ACTIVECLOCK STRING "PORT_UNUSED"
239 // Retrieval info: CONSTANT: PORT_ARESET STRING "PORT_UNUSED"
240 // Retrieval info: CONSTANT: PORT_CLKBAD0 STRING "PORT_UNUSED"
241 // Retrieval info: CONSTANT: PORT_CLKBAD1 STRING "PORT_UNUSED"
242 // Retrieval info: CONSTANT: PORT_CLKLOSS STRING "PORT_UNUSED"
243 // Retrieval info: CONSTANT: PORT_CLKSWITCH STRING "PORT_UNUSED"
244 // Retrieval info: CONSTANT: PORT_CONFIGUPDATE STRING "PORT_UNUSED"
245 // Retrieval info: CONSTANT: PORT_FBIN STRING "PORT_UNUSED"
246 // Retrieval info: CONSTANT: PORT_INCLK0 STRING "PORT_USED"
247 // Retrieval info: CONSTANT: PORT_INCLK1 STRING "PORT_UNUSED"
248 // Retrieval info: CONSTANT: PORT_LOCKED STRING "PORT_UNUSED"
249 // Retrieval info: CONSTANT: PORT_PFDENA STRING "PORT_UNUSED"
250 // Retrieval info: CONSTANT: PORT_PHASECOUNTERSELECT STRING "PORT_UNUSED"
251 // Retrieval info: CONSTANT: PORT_PHASEDONE STRING "PORT_UNUSED"
252 // Retrieval info: CONSTANT: PORT_PHASESTEP STRING "PORT_UNUSED"
253 // Retrieval info: CONSTANT: PORT_PHASEUPDOWN STRING "PORT_UNUSED"
254 // Retrieval info: CONSTANT: PORT_PLLENA STRING "PORT_UNUSED"
255 // Retrieval info: CONSTANT: PORT_SCANACLR STRING "PORT_UNUSED"
256 // Retrieval info: CONSTANT: PORT_SCANCLK STRING "PORT_UNUSED"
257 // Retrieval info: CONSTANT: PORT_SCANCLKENA STRING "PORT_UNUSED"
258 // Retrieval info: CONSTANT: PORT_SCANDATA STRING "PORT_UNUSED"
259 // Retrieval info: CONSTANT: PORT_SCANDATAOUT STRING "PORT_UNUSED"
260 // Retrieval info: CONSTANT: PORT_SCANDONE STRING "PORT_UNUSED"
261 // Retrieval info: CONSTANT: PORT_SCANREAD STRING "PORT_UNUSED"
262 // Retrieval info: CONSTANT: PORT_SCANWRITE STRING "PORT_UNUSED"
263 // Retrieval info: CONSTANT: PORT_clk0 STRING "PORT_USED"
264 // Retrieval info: CONSTANT: PORT_clk1 STRING "PORT_UNUSED"
265 // Retrieval info: CONSTANT: PORT_clk2 STRING "PORT_UNUSED"
266 // Retrieval info: CONSTANT: PORT_clk3 STRING "PORT_UNUSED"
267 // Retrieval info: CONSTANT: PORT_clk4 STRING "PORT_UNUSED"
268 // Retrieval info: CONSTANT: PORT_clk5 STRING "PORT_UNUSED"
269 // Retrieval info: CONSTANT: PORT_clkena0 STRING "PORT_UNUSED"
270 // Retrieval info: CONSTANT: PORT_clkena1 STRING "PORT_UNUSED"
271 // Retrieval info: CONSTANT: PORT_clkena2 STRING "PORT_UNUSED"
272 // Retrieval info: CONSTANT: PORT_clkena3 STRING "PORT_UNUSED"
273 // Retrieval info: CONSTANT: PORT_clkena4 STRING "PORT_UNUSED"
274 // Retrieval info: CONSTANT: PORT_clkena5 STRING "PORT_UNUSED"
275 // Retrieval info: CONSTANT: PORT_extclk0 STRING "PORT_UNUSED"
276 // Retrieval info: CONSTANT: PORT_extclk1 STRING "PORT_UNUSED"
277 // Retrieval info: CONSTANT: PORT_extclk2 STRING "PORT_UNUSED"
278 // Retrieval info: CONSTANT: PORT_extclk3 STRING "PORT_UNUSED"
279 // Retrieval info: USED_PORT: @clk 0 0 6 0 OUTPUT_CLK_EXT VCC "@clk[5..0]"
280 // Retrieval info: USED_PORT: @extclk 0 0 4 0 OUTPUT_CLK_EXT VCC "@extclk[3..0]"
281 // Retrieval info: USED_PORT: c0 0 0 0 0 OUTPUT_CLK_EXT VCC "c0"
282 // Retrieval info: USED_PORT: inclk0 0 0 0 0 INPUT_CLK_EXT GND "inclk0"
283 // Retrieval info: CONNECT: @inclk 0 0 1 0 inclk0 0 0 0 0
284 // Retrieval info: CONNECT: c0 0 0 0 0 @clk 0 0 1 0
285 // Retrieval info: CONNECT: @inclk 0 0 1 1 GND 0 0 0 0
286 // Retrieval info: GEN_FILE: TYPE_NORMAL video_pll.v TRUE FALSE
287 // Retrieval info: GEN_FILE: TYPE_NORMAL video_pll.ppf TRUE FALSE
288 // Retrieval info: GEN_FILE: TYPE_NORMAL video_pll.inc FALSE FALSE
289 // Retrieval info: GEN_FILE: TYPE_NORMAL video_pll.cmp FALSE FALSE
290 // Retrieval info: GEN_FILE: TYPE_NORMAL video_pll.bsf FALSE FALSE
291 // Retrieval info: GEN_FILE: TYPE_NORMAL video_pll_inst.v FALSE FALSE
292 // Retrieval info: GEN_FILE: TYPE_NORMAL video_pll_bb.v FALSE FALSE
293 // Retrieval info: GEN_FILE: TYPE_NORMAL video_pll_waveforms.html FALSE FALSE
294 // Retrieval info: GEN_FILE: TYPE_NORMAL video_pll_wave*.jpg FALSE FALSE
295 // Retrieval info: LIB_FILE: altera_mf