Merge pull request #1 from schoeberl/master
[yari.git] / BeMicroSDK / Makefile
blobf55007bfcf0c8424d2e37f09c10e2a0267d1e9c1
1 # -----------------------------------------------------------------------
3 # Copyright 2007,2010 Tommy Thorn - All Rights Reserved
5 # This program is free software; you can redistribute it and/or modify
6 # it under the terms of the GNU General Public License as published by
7 # the Free Software Foundation, Inc., 53 Temple Place Ste 330,
8 # Bostom MA 02111-1307, USA; either version 2 of the License, or
9 # (at your option) any later version; incorporated herein by reference.
11 # -----------------------------------------------------------------------
13 TOPDIR=..
14 include default.conf
15 QFILTER=|grep -v '^ '|egrep -i 'warning|error'
16 YARISRC=../shared/rtl/yari-core/yari.v \
17 ../shared/rtl/yari-core/stage_I.v \
18 ../shared/rtl/yari-core/stage_D.v \
19 ../shared/rtl/yari-core/stage_X.v \
20 ../shared/rtl/yari-core/stage_M.v \
21 ../shared/rtl/yari-core/asm.v \
22 ../shared/rtl/yari-core/perfcounters.v
24 all: rtl/yari.sof
26 program: rtl/yari.sof
27 cd rtl; quartus_pgm yari.cdf
29 rtl/yari.sof: rtl/config.h rtl/yari.qsf rtl/icache_ram0.mif rtl/toplevel.v $(YARISRC)
30 cd rtl; quartus_map yari.qsf $(QFILTER)
31 cd rtl; quartus_fit yari.qsf $(QFILTER)
32 cd rtl; quartus_asm yari.qsf $(QFILTER)
34 include ../shared/shared.mk
36 tinymon.mips-o: tinymon.c
37 mips-elf-gcc -D_mips_ -msoft-float -c -Os $< -o $@
39 tinymon.mips: tinymon.mips-o
40 mips-elf-ld -Tprom.ld $< -o $@