YARISIM: improved cache initialization file generation
[yari.git] / shared / shared.mk
blob0d37b4ae7f0cf7c2fd2b178fccfd10046db261f4
1 # -----------------------------------------------------------------------
3 # Copyright 2010 Tommy Thorn - All Rights Reserved
5 # This program is free software; you can redistribute it and/or modify
6 # it under the terms of the GNU General Public License as published by
7 # the Free Software Foundation, Inc., 53 Temple Place Ste 330,
8 # Bostom MA 02111-1307, USA; either version 2 of the License, or
9 # (at your option) any later version; incorporated herein by reference.
11 # -----------------------------------------------------------------------
13 MAKECONFIG=$(TOPDIR)/shared/tools/makeconfig.sh
15 all: rtl/config.h rtl/icache_ram0.mif
17 rtl/config.h: $(MAKECONFIG) default.conf
18 cd rtl; ../$(MAKECONFIG) ../default.conf
20 rtl/icache_ram0.mif:
21 cd rtl; ../$(TOPDIR)/shared/yarisim/sim \
22 --mif \
23 --icache-way=$(IC_LINE_INDEX_BITS) \
24 --icache-words=$(IC_WORD_INDEX_BITS) \
25 --dcache-way=$(DC_LINE_INDEX_BITS) \
26 --dcache-words=$(DC_WORD_INDEX_BITS) \
27 ../$(TOPDIR)/shared/firmware/tinymon-prom.mips