YARISIM: improved cache initialization file generation
[yari.git] / BeMicro / Makefile
blob94025b265a158cde401732715fd60118a884f5b9
1 # -----------------------------------------------------------------------
3 # Copyright 2007,2010 Tommy Thorn - All Rights Reserved
5 # This program is free software; you can redistribute it and/or modify
6 # it under the terms of the GNU General Public License as published by
7 # the Free Software Foundation, Inc., 53 Temple Place Ste 330,
8 # Bostom MA 02111-1307, USA; either version 2 of the License, or
9 # (at your option) any later version; incorporated herein by reference.
11 # -----------------------------------------------------------------------
13 TOPDIR=..
14 include default.conf
15 include ../shared/shared.mk