Recognizes if input is ogg or not.
[xiph.git] / xiph-qt / Theora / Theora_Prefix.pch
blobddae8072fea54734954bdc77ff6e948b46dd33a0
1 //
2 // Prefix header for all source files of the 'Theora' target in the 'Theora' project.
3 //
5 #include <Carbon/Carbon.h>