Recognizes if input is ogg or not.
[xiph.git] / theora / AUTHORS
blob876858a1af48ffa83104cb6f25046d1cab3487ac
1 Monty <monty@xiph.org>
2         - Original VP3 port
4 Ralph Giles
5 Timothy B. Terriberry 
6         - Ongoing development
7         
8 Dan B. Miller
9         - Pre alpha3 development
10         
11 Wim Tayman
12 Dan Lenski
13         - MMX optimized functions
14         
15 Aaron Colwell
16 Thomas Vander Stichele
17 Jan Gerber
18 Conrad Parker
19         - Bug fixes, enhancements, build systems.
20         
21 Mauricio Piacentini
22         - Original win32 projects and example ports
23         - VP3->Theora transcoder
25 Silvia Pfeiffer
26         - Figures for the spec
28 Michael Smith
29 Andre Pang
30 calc
31 ccheney
32 brendan
33 Edward Hervey
34 Adam Moss
35 Colin Ward
36 Jeremy C. Reed
37 Arc Riley
38 Rodolphe Ortalo
39         - Bug fixes
42 and other Xiph.org contributors