preprocessor cleanup: __xpv
[unleashed.git] / arch / x86 / kernel / platform / i86pc / os / intr.c
blob529d53e684b5865b0831e5268fd2c1179b7f98ee
1 /*
2 * CDDL HEADER START
4 * The contents of this file are subject to the terms of the
5 * Common Development and Distribution License (the "License").
6 * You may not use this file except in compliance with the License.
8 * You can obtain a copy of the license at usr/src/OPENSOLARIS.LICENSE
9 * or http://www.opensolaris.org/os/licensing.
10 * See the License for the specific language governing permissions
11 * and limitations under the License.
13 * When distributing Covered Code, include this CDDL HEADER in each
14 * file and include the License file at usr/src/OPENSOLARIS.LICENSE.
15 * If applicable, add the following below this CDDL HEADER, with the
16 * fields enclosed by brackets "[]" replaced with your own identifying
17 * information: Portions Copyright [yyyy] [name of copyright owner]
19 * CDDL HEADER END
23 * Copyright (c) 2004, 2010, Oracle and/or its affiliates. All rights reserved.
24 * Copyright (c) 2012, Joyent, Inc. All rights reserverd.
28 * To understand the present state of interrupt handling on i86pc, we must
29 * first consider the history of interrupt controllers and our way of handling
30 * interrupts.
32 * History of Interrupt Controllers on i86pc
33 * -----------------------------------------
35 * Intel 8259 and 8259A
37 * The first interrupt controller that attained widespread use on i86pc was
38 * the Intel 8259(A) Programmable Interrupt Controller that first saw use with
39 * the 8086. It took up to 8 interrupt sources and combined them into one
40 * output wire. Up to 8 8259s could be slaved together providing up to 64 IRQs.
41 * With the switch to the 8259A, level mode interrupts became possible. For a
42 * long time on i86pc the 8259A was the only way to handle interrupts and it
43 * had its own set of quirks. The 8259A and its corresponding interval timer
44 * the 8254 are programmed using outb and inb instructions.
46 * Intel Advanced Programmable Interrupt Controller (APIC)
48 * Starting around the time of the introduction of the P6 family
49 * microarchitecture (i686) Intel introduced a new interrupt controller.
50 * Instead of having the series of slaved 8259A devices, Intel opted to outfit
51 * each processor with a Local APIC (lapic) and to outfit the system with at
52 * least one, but potentially more, I/O APICs (ioapic). The lapics and ioapics
53 * initially communicated over a dedicated bus, but this has since been
54 * replaced. Each physical core and even hyperthread currently contains its
55 * own local apic, which is not shared. There are a few exceptions for
56 * hyperthreads, but that does not usually concern us.
58 * Instead of talking directly to 8259 for status, sending End Of Interrupt
59 * (EOI), etc. a microprocessor now communicates directly to the lapic. This
60 * also allows for each microprocessor to be able to have independent controls.
61 * The programming method is different from the 8259. Consumers map the lapic
62 * registers into uncacheable memory to read and manipulate the state.
64 * The number of addressable interrupt vectors was increased to 256. However
65 * vectors 0-31 are reserved for the processor exception handling, leaving the
66 * remaining vectors for general use. In addition to hardware generated
67 * interrupts, the lapic provides a way for generating inter-processor
68 * interrupts (IPI) which are the basis for CPU cross calls and CPU pokes.
70 * AMD ended up implementing the Intel APIC architecture in lieu of their work
71 * with Cyrix.
73 * Intel x2apic
75 * The x2apic is an extension to the lapic which started showing up around the
76 * same time as the Sandy Bridge chipsets. It provides a new programming mode
77 * as well as new features. The goal of the x2apic is to solve a few problems
78 * with the previous generation of lapic and the x2apic is backwards compatible
79 * with the previous programming and model. The only downsides to using the
80 * backwards compatibility is that you are not able to take advantage of the new
81 * x2apic features.
83 * o The APIC ID is increased from an 8-bit value to a 32-bit value. This
84 * increases the maximum number of addressable physical processors beyond
85 * 256. This new ID is assembled in a similar manner as the information that
86 * is obtainable by the extended cpuid topology leaves.
88 * o A new means of generating IPIs was introduced.
90 * o Instead of memory mapping the registers, the x2apic only allows for
91 * programming it through a series of wrmsrs. This has important semantic
92 * side effects. Recall that the registers were previously all mapped to
93 * uncachable memory which meant that all operations to the local apic were
94 * serializing instructions. With the switch to using wrmsrs this has been
95 * relaxed and these operations can no longer be assumed to be serializing
96 * instructions.
98 * Note for the rest of this we are only going to concern ourselves with the
99 * apic and x2apic which practically all of i86pc has been using now for
100 * quite some time.
102 * Interrupt Priority Levels
103 * -------------------------
105 * On i86pc systems there are a total of fifteen interrupt priority levels
106 * (ipls) which range from 1-15. Level 0 is for normal processing and
107 * non-interrupt processing. To manipulate these values the family of spl
108 * functions (which date back to UNIX on the PDP-11) are used. Specifically,
109 * splr() to raise the priority level and splx() to lower it. One should not
110 * generally call setspl() directly.
112 * Both i86pc and the supported SPARC platforms honor the same conventions for
113 * the meaning behind these IPLs. The most important IPL is the platform's
114 * LOCK_LEVEL (0xa on i86pc). If a thread is above LOCK_LEVEL it _must_ not
115 * sleep on any synchronization object. The only allowed synchronization
116 * primitive is a mutex that has been specifically initialized to be a spin
117 * lock (see mutex_init(9F)). Another important level is DISP_LEVEL (0xb on
118 * i86pc). You must be at DISP_LEVEL if you want to control the dispatcher.
119 * The XC_HI_PIL is the highest level (0xf) and is used during cross-calls.
121 * Each interrupt that is registered in the system fires at a specific IPL.
122 * Generally most interrupts fire below LOCK_LEVEL.
124 * PSM Drivers
125 * -----------
127 * We currently have three sets of PSM (platform specific module) drivers
128 * available. uppc, pcplusmp, and apix. uppc (uni-processor PC) is the original
129 * driver that interacts with the 8259A and 8254. In general, it is not used
130 * anymore given the prevalence of the apic.
132 * The system prefers to use the apix driver over the pcplusmp driver. The apix
133 * driver requires HW support for an x2apic. If there is no x2apic HW, apix
134 * will not be used. In general we prefer using the apix driver over the
135 * pcplusmp driver because it gives us much more flexibility with respect to
136 * interrupts. In the apix driver each local apic has its own independent set
137 * of interrupts, whereas the pcplusmp driver only has a single global set of
138 * interrupts. This is why pcplusmp only supports a finite number of interrupts
139 * per IPL -- generally 16, often less. The apix driver supports using either
140 * the x2apic or the local apic programing modes. The programming mode does not
141 * change the number of interrupts available, just the number of processors
142 * that we can address. For the apix driver, the x2apic mode is enabled if the
143 * system supports interrupt re-mapping, otherwise the module manages the
144 * x2apic in local mode.
146 * When there is no x2apic present, we default back to the pcplusmp PSM driver.
147 * In general, this is not problematic unless you have more than 256
148 * processors in the machine or you do not have enough interrupts available.
150 * Controlling Interrupt Generation on i86pc
151 * -----------------------------------------
153 * There are two different ways to manipulate which interrupts will be
154 * generated on i86pc. Each offers different degrees of control.
156 * The first is through the flags register (eflags and rflags on i386 and amd64
157 * respectively). The IF bit determines whether or not interrupts are enabled
158 * or disabled. This is manipulated in one of several ways. The most common way
159 * is through the cli and sti instructions. These clear the IF flag and set it,
160 * respectively, for the current processor. The other common way is through the
161 * use of the intr_clear and intr_restore functions.
163 * Assuming interrupts are not blocked by the IF flag, then the second form is
164 * through the Processor-Priority Register (PPR). The PPR is used to determine
165 * whether or not a pending interrupt should be delivered. If the ipl of the
166 * new interrupt is higher than the current value in the PPR, then the lapic
167 * will either deliver it immediately (if interrupts are not in progress) or it
168 * will deliver it once the current interrupt processing has issued an EOI. The
169 * highest unmasked interrupt will be the one delivered.
171 * The PPR register is based upon the max of the following two registers in the
172 * lapic, the TPR register (also known as CR8 on amd64) that can be used to
173 * mask interrupt levels, and the current vector. Because the pcplusmp module
174 * always sets TPR appropriately early in the do_interrupt path, we can usually
175 * just think that the PPR is the TPR. The pcplusmp module also issues an EOI
176 * once it has set the TPR, so higher priority interrupts can come in while
177 * we're servicing a lower priority interrupt.
179 * Handling Interrupts
180 * -------------------
182 * Interrupts can be broken down into three categories based on priority and
183 * source:
185 * o High level interrupts
186 * o Low level hardware interrupts
187 * o Low level software interrupts
189 * High Level Interrupts
191 * High level interrupts encompasses both hardware-sourced and software-sourced
192 * interrupts. Examples of high level hardware interrupts include the serial
193 * console. High level software-sourced interrupts are still delivered through
194 * the local apic through IPIs. This is primarily cross calls.
196 * When a high level interrupt comes in, we will raise the SPL and then pin the
197 * current lwp to the processor. We will use its lwp, but our own interrupt
198 * stack and process the high level interrupt in-situ. These handlers are
199 * designed to be very short in nature and cannot go to sleep, only block on a
200 * spin lock. If the interrupt has a lot of work to do, it must generate a
201 * low-priority software interrupt that will be processed later.
203 * Low level hardware interrupts
205 * Low level hardware interrupts start off like their high-level cousins. The
206 * current CPU contains a number of kernel threads (kthread_t) that can be used
207 * to process low level interrupts. These are shared between both low level
208 * hardware and software interrupts. Note that while we run with our
209 * kthread_t, we borrow the pinned threads lwp_t until such a time as we hit a
210 * synchronization object. If we hit one and need to sleep, then the scheduler
211 * will instead create the rest of what we need.
213 * Low level software interrupts
215 * Low level software interrupts are handled in a similar way as hardware
216 * interrupts, but the notification vector is different. Each CPU has a bitmask
217 * of pending software interrupts. We can notify a CPU to process software
218 * interrupts through a specific trap vector as well as through several
219 * checks that are performed throughout the code. These checks will look at
220 * processing software interrupts as we lower our spl.
222 * We attempt to process the highest pending software interrupt that we can
223 * which is greater than our current IPL. If none currently exist, then we move
224 * on. We process a software interrupt in a similar fashion to a hardware
225 * interrupt.
227 * Traditional Interrupt Flow
228 * --------------------------
230 * The following diagram tracks the flow of the traditional uppc and pcplusmp
231 * interrupt handlers. The apix driver has its own version of do_interrupt().
232 * We come into the interrupt handler with all interrupts masked by the IF
233 * flag. This is because we set up the handler using an interrupt-gate, which
234 * is defined architecturally to have cleared the IF flag for us.
236 * +--------------+ +----------------+ +-----------+
237 * | _interrupt() |--->| do_interrupt() |--->| *setlvl() |
238 * +--------------+ +----------------+ +-----------+
239 * | | |
240 * | | |
241 * low-level| | | softint
242 * HW int | | +---------------------------------------+
243 * +--------------+ | | |
244 * | intr_thread_ |<-----+ | hi-level int |
245 * | prolog() | | +----------+ |
246 * +--------------+ +--->| hilevel_ | Not on intr stack |
247 * | | intr_ |-----------------+ |
248 * | | prolog() | | |
249 * +------------+ +----------+ | |
250 * | switch_sp_ | | On intr v |
251 * | and_call() | | Stack +------------+ |
252 * +------------+ | | switch_sp_ | |
253 * | v | and_call() | |
254 * v +-----------+ +------------+ |
255 * +-----------+ | dispatch_ | | |
256 * | dispatch_ | +-------------------| hilevel() |<------------+ |
257 * | hardint() | | +-----------+ |
258 * +-----------+ | |
259 * | v |
260 * | +-----+ +----------------------+ +-----+ hi-level |
261 * +---->| sti |->| av_dispatch_autovect |->| cli |---------+ |
262 * +-----+ +----------------------+ +-----+ | |
263 * | | | |
264 * v | | |
265 * +----------+ | | |
266 * | for each | | | |
267 * | handler | | | |
268 * | *intr() | | v |
269 * +--------------+ +----------+ | +----------------+ |
270 * | intr_thread_ | low-level | | hilevel_intr_ | |
271 * | epilog() |<-------------------------------+ | epilog() | |
272 * +--------------+ +----------------+ |
273 * | | | |
274 * | +----------------------v v---------------------+ |
275 * | +------------+ |
276 * | +---------------------->| *setlvlx() | |
277 * | | +------------+ |
278 * | | | |
279 * | | v |
280 * | | +--------+ +------------------+ +-------------+ |
281 * | | | return |<----| softint pending? |----->| dosoftint() |<-----+
282 * | | +--------+ no +------------------+ yes +-------------+
283 * | | ^ | |
284 * | | | softint pil too low | |
285 * | | +--------------------------------------+ |
286 * | | v
287 * | | +-----------+ +------------+ +-----------+
288 * | | | dispatch_ |<-----| switch_sp_ |<---------| *setspl() |
289 * | | | softint() | | and_call() | +-----------+
290 * | | +-----------+ +------------+
291 * | | |
292 * | | v
293 * | | +-----+ +----------------------+ +-----+ +------------+
294 * | | | sti |->| av_dispatch_autovect |->| cli |->| dosoftint_ |
295 * | | +-----+ +----------------------+ +-----+ | epilog() |
296 * | | +------------+
297 * | | | |
298 * | +----------------------------------------------------+ |
299 * v |
300 * +-----------+ |
301 * | interrupt | |
302 * | thread |<---------------------------------------------------+
303 * | blocked |
304 * +-----------+
307 * +----------------+ +------------+ +-----------+ +-------+ +---------+
308 * | set_base_spl() |->| *setlvlx() |->| splhigh() |->| sti() |->| swtch() |
309 * +----------------+ +------------+ +-----------+ +-------+ +---------+
311 * Calls made on Interrupt Stacks and Epilogue routines
313 * We use the switch_sp_and_call() assembly routine to switch our sp to the
314 * interrupt stacks and then call the appropriate dispatch function. In the
315 * case of interrupts which may block, softints and hardints, we always ensure
316 * that we are still on the interrupt thread when we call the epilog routine.
317 * This is not just important, it's necessary. If the interrupt thread blocked,
318 * we won't return from our switch_sp_and_call() function and instead we'll go
319 * through and set ourselves up to swtch() directly.
321 * New Interrupt Flow
322 * ------------------
324 * The apix module has its own interrupt path. This is done for various
325 * reasons. The first is that rather than having global interrupt vectors, we
326 * now have per-cpu vectors.
328 * The other substantial change is that the apix design does not use the TPR to
329 * mask interrupts below the current level. In fact, except for one special
330 * case, it does not use the TPR at all. Instead, it only uses the IF flag
331 * (cli/sti) to either block all interrupts or allow any interrupts to come in.
332 * The design is such that when interrupts are allowed to come in, if we are
333 * currently servicing a higher priority interupt, the new interrupt is treated
334 * as pending and serviced later. Specifically, in the pcplusmp module's
335 * apic_intr_enter() the code masks interrupts at or below the current
336 * IPL using the TPR before sending EOI, whereas the apix module's
337 * apix_intr_enter() simply sends EOI.
339 * The one special case where the apix code uses the TPR is when it calls
340 * through the apic_reg_ops function pointer apic_write_task_reg in
341 * apix_init_intr() to initially mask all levels and then finally to enable all
342 * levels.
344 * Recall that we come into the interrupt handler with all interrupts masked
345 * by the IF flag. This is because we set up the handler using an
346 * interrupt-gate which is defined architecturally to have cleared the IF flag
347 * for us.
349 * +--------------+ +---------------------+
350 * | _interrupt() |--->| apix_do_interrupt() |
351 * +--------------+ +---------------------+
353 * hard int? +----+--------+ softint?
354 * | | (but no low-level looping)
355 * +-----------+ |
356 * | *setlvl() | |
357 * +---------+ +-----------+ +----------------------------------+
358 * |apix_add_| check IPL | |
359 * |pending_ |<-------------+------+----------------------+ |
360 * |hardint()| low-level int| hi-level int| |
361 * +---------+ v v |
362 * | check IPL +-----------------+ +---------------+ |
363 * +--+-----+ | apix_intr_ | | apix_hilevel_ | |
364 * | | | thread_prolog() | | intr_prolog() | |
365 * | return +-----------------+ +---------------+ |
366 * | | | On intr |
367 * | +------------+ | stack? +------------+ |
368 * | | switch_sp_ | +---------| switch_sp_ | |
369 * | | and_call() | | | and_call() | |
370 * | +------------+ | +------------+ |
371 * | | | | |
372 * | +----------------+ +----------------+ |
373 * | | apix_dispatch_ | | apix_dispatch_ | |
374 * | | lowlevel() | | hilevel() | |
375 * | +----------------+ +----------------+ |
376 * | | | |
377 * | v v |
378 * | +-------------------------+ |
379 * | |apix_dispatch_by_vector()|----+ |
380 * | +-------------------------+ | |
381 * | !XC_HI_PIL| | | | |
382 * | +---+ +-------+ +---+ | |
383 * | |sti| |*intr()| |cli| | |
384 * | +---+ +-------+ +---+ | hi-level? |
385 * | +---------------------------+----+ |
386 * | v low-level? v |
387 * | +----------------+ +----------------+ |
388 * | | apix_intr_ | | apix_hilevel_ | |
389 * | | thread_epilog()| | intr_epilog() | |
390 * | +----------------+ +----------------+ |
391 * | | | |
392 * | v-----------------+--------------------------------+ |
393 * | +------------+ |
394 * | | *setlvlx() | +----------------------------------------------------+
395 * | +------------+ |
396 * | | | +--------------------------------+ low
397 * v v v------+ v | level
398 * +------------------+ +------------------+ +-----------+ | pending?
399 * | apix_do_pending_ |----->| apix_do_pending_ |----->| apix_do_ |--+
400 * | hilevel() | | hardint() | | softint() | |
401 * +------------------+ +------------------+ +-----------+ return
402 * | | |
403 * | while pending | while pending | while pending
404 * | hi-level | low-level | softint
405 * | | |
406 * +---------------+ +-----------------+ +-----------------+
407 * | apix_hilevel_ | | apix_intr_ | | apix_do_ |
408 * | intr_prolog() | | thread_prolog() | | softint_prolog()|
409 * +---------------+ +-----------------+ +-----------------+
410 * | On intr | |
411 * | stack? +------------+ +------------+ +------------+
412 * +--------| switch_sp_ | | switch_sp_ | | switch_sp_ |
413 * | | and_call() | | and_call() | | and_call() |
414 * | +------------+ +------------+ +------------+
415 * | | | |
416 * +------------------+ +------------------+ +------------------------+
417 * | apix_dispatch_ | | apix_dispatch_ | | apix_dispatch_softint()|
418 * | pending_hilevel()| | pending_hardint()| +------------------------+
419 * +------------------+ +------------------+ | | | |
420 * | | | | | | | |
421 * | +----------------+ | +----------------+ | | | |
422 * | | apix_hilevel_ | | | apix_intr_ | | | | |
423 * | | intr_epilog() | | | thread_epilog()| | | | |
424 * | +----------------+ | +----------------+ | | | |
425 * | | | | | | | |
426 * | +------------+ | +----------+ +------+ | | |
427 * | | *setlvlx() | | |*setlvlx()| | | | |
428 * | +------------+ | +----------+ | +----------+ | +---------+
429 * | | +---+ |av_ | +---+ |apix_do_ |
430 * +---------------------------------+ |sti| |dispatch_ | |cli| |softint_ |
431 * | apix_dispatch_pending_autovect()| +---+ |softvect()| +---+ |epilog() |
432 * +---------------------------------+ +----------+ +---------+
433 * |!XC_HI_PIL | | | |
434 * +---+ +-------+ +---+ +----------+ +-------+
435 * |sti| |*intr()| |cli| |apix_post_| |*intr()|
436 * +---+ +-------+ +---+ |hardint() | +-------+
437 * +----------+
440 #include <sys/cpuvar.h>
441 #include <sys/cpu_event.h>
442 #include <sys/regset.h>
443 #include <sys/psw.h>
444 #include <sys/types.h>
445 #include <sys/thread.h>
446 #include <sys/systm.h>
447 #include <sys/segments.h>
448 #include <sys/pcb.h>
449 #include <sys/trap.h>
450 #include <sys/ftrace.h>
451 #include <sys/traptrace.h>
452 #include <sys/clock.h>
453 #include <sys/panic.h>
454 #include <sys/disp.h>
455 #include <vm/seg_kp.h>
456 #include <sys/stack.h>
457 #include <sys/sysmacros.h>
458 #include <sys/cmn_err.h>
459 #include <sys/kstat.h>
460 #include <sys/smp_impldefs.h>
461 #include <sys/pool_pset.h>
462 #include <sys/zone.h>
463 #include <sys/bitmap.h>
464 #include <sys/archsystm.h>
465 #include <sys/machsystm.h>
466 #include <sys/ontrap.h>
467 #include <sys/x86_archext.h>
468 #include <sys/promif.h>
469 #include <vm/hat_i86.h>
472 #if defined(__xpv) && defined(DEBUG)
475 * This panic message is intended as an aid to interrupt debugging.
477 * The associated assertion tests the condition of enabling
478 * events when events are already enabled. The implication
479 * being that whatever code the programmer thought was
480 * protected by having events disabled until the second
481 * enable happened really wasn't protected at all ..
484 int stistipanic = 1; /* controls the debug panic check */
485 const char *stistimsg = "stisti";
486 ulong_t laststi[NCPU];
489 * This variable tracks the last place events were disabled on each cpu
490 * it assists in debugging when asserts that interrupts are enabled trip.
492 ulong_t lastcli[NCPU];
494 #endif
496 void do_interrupt(struct regs *rp, trap_trace_rec_t *ttp);
498 void (*do_interrupt_common)(struct regs *, trap_trace_rec_t *) = do_interrupt;
499 uintptr_t (*get_intr_handler)(int, short) = NULL;
502 * Set cpu's base SPL level to the highest active interrupt level
504 void
505 set_base_spl(void)
507 struct cpu *cpu = CPU;
508 uint16_t active = (uint16_t)cpu->cpu_intr_actv;
510 cpu->cpu_base_spl = active == 0 ? 0 : bsrw_insn(active);
514 * Do all the work necessary to set up the cpu and thread structures
515 * to dispatch a high-level interrupt.
517 * Returns 0 if we're -not- already on the high-level interrupt stack,
518 * (and *must* switch to it), non-zero if we are already on that stack.
520 * Called with interrupts masked.
521 * The 'pil' is already set to the appropriate level for rp->r_trapno.
523 static int
524 hilevel_intr_prolog(struct cpu *cpu, uint_t pil, uint_t oldpil, struct regs *rp)
526 struct machcpu *mcpu = &cpu->cpu_m;
527 uint_t mask;
528 hrtime_t intrtime;
529 hrtime_t now = tsc_read();
531 ASSERT(pil > LOCK_LEVEL);
533 if (pil == CBE_HIGH_PIL) {
534 cpu->cpu_profile_pil = oldpil;
535 if (USERMODE(rp->r_cs)) {
536 cpu->cpu_profile_pc = 0;
537 cpu->cpu_profile_upc = rp->r_pc;
538 cpu->cpu_cpcprofile_pc = 0;
539 cpu->cpu_cpcprofile_upc = rp->r_pc;
540 } else {
541 cpu->cpu_profile_pc = rp->r_pc;
542 cpu->cpu_profile_upc = 0;
543 cpu->cpu_cpcprofile_pc = rp->r_pc;
544 cpu->cpu_cpcprofile_upc = 0;
548 mask = cpu->cpu_intr_actv & CPU_INTR_ACTV_HIGH_LEVEL_MASK;
549 if (mask != 0) {
550 int nestpil;
553 * We have interrupted another high-level interrupt.
554 * Load starting timestamp, compute interval, update
555 * cumulative counter.
557 nestpil = bsrw_insn((uint16_t)mask);
558 ASSERT(nestpil < pil);
559 intrtime = now -
560 mcpu->pil_high_start[nestpil - (LOCK_LEVEL + 1)];
561 mcpu->intrstat[nestpil][0] += intrtime;
562 cpu->cpu_intracct[cpu->cpu_mstate] += intrtime;
564 * Another high-level interrupt is active below this one, so
565 * there is no need to check for an interrupt thread. That
566 * will be done by the lowest priority high-level interrupt
567 * active.
569 } else {
570 kthread_t *t = cpu->cpu_thread;
573 * See if we are interrupting a low-level interrupt thread.
574 * If so, account for its time slice only if its time stamp
575 * is non-zero.
577 if ((t->t_flag & T_INTR_THREAD) != 0 && t->t_intr_start != 0) {
578 intrtime = now - t->t_intr_start;
579 mcpu->intrstat[t->t_pil][0] += intrtime;
580 cpu->cpu_intracct[cpu->cpu_mstate] += intrtime;
581 t->t_intr_start = 0;
586 * Store starting timestamp in CPU structure for this PIL.
588 mcpu->pil_high_start[pil - (LOCK_LEVEL + 1)] = now;
590 ASSERT((cpu->cpu_intr_actv & (1 << pil)) == 0);
592 if (pil == 15) {
594 * To support reentrant level 15 interrupts, we maintain a
595 * recursion count in the top half of cpu_intr_actv. Only
596 * when this count hits zero do we clear the PIL 15 bit from
597 * the lower half of cpu_intr_actv.
599 uint16_t *refcntp = (uint16_t *)&cpu->cpu_intr_actv + 1;
600 (*refcntp)++;
603 mask = cpu->cpu_intr_actv;
605 cpu->cpu_intr_actv |= (1 << pil);
607 return (mask & CPU_INTR_ACTV_HIGH_LEVEL_MASK);
611 * Does most of the work of returning from a high level interrupt.
613 * Returns 0 if there are no more high level interrupts (in which
614 * case we must switch back to the interrupted thread stack) or
615 * non-zero if there are more (in which case we should stay on it).
617 * Called with interrupts masked
619 static int
620 hilevel_intr_epilog(struct cpu *cpu, uint_t pil, uint_t oldpil, uint_t vecnum)
622 struct machcpu *mcpu = &cpu->cpu_m;
623 uint_t mask;
624 hrtime_t intrtime;
625 hrtime_t now = tsc_read();
627 ASSERT(mcpu->mcpu_pri == pil);
629 cpu->cpu_stats.sys.intr[pil - 1]++;
631 ASSERT(cpu->cpu_intr_actv & (1 << pil));
633 if (pil == 15) {
635 * To support reentrant level 15 interrupts, we maintain a
636 * recursion count in the top half of cpu_intr_actv. Only
637 * when this count hits zero do we clear the PIL 15 bit from
638 * the lower half of cpu_intr_actv.
640 uint16_t *refcntp = (uint16_t *)&cpu->cpu_intr_actv + 1;
642 ASSERT(*refcntp > 0);
644 if (--(*refcntp) == 0)
645 cpu->cpu_intr_actv &= ~(1 << pil);
646 } else {
647 cpu->cpu_intr_actv &= ~(1 << pil);
650 ASSERT(mcpu->pil_high_start[pil - (LOCK_LEVEL + 1)] != 0);
652 intrtime = now - mcpu->pil_high_start[pil - (LOCK_LEVEL + 1)];
653 mcpu->intrstat[pil][0] += intrtime;
654 cpu->cpu_intracct[cpu->cpu_mstate] += intrtime;
657 * Check for lower-pil nested high-level interrupt beneath
658 * current one. If so, place a starting timestamp in its
659 * pil_high_start entry.
661 mask = cpu->cpu_intr_actv & CPU_INTR_ACTV_HIGH_LEVEL_MASK;
662 if (mask != 0) {
663 int nestpil;
666 * find PIL of nested interrupt
668 nestpil = bsrw_insn((uint16_t)mask);
669 ASSERT(nestpil < pil);
670 mcpu->pil_high_start[nestpil - (LOCK_LEVEL + 1)] = now;
672 * (Another high-level interrupt is active below this one,
673 * so there is no need to check for an interrupt
674 * thread. That will be done by the lowest priority
675 * high-level interrupt active.)
677 } else {
679 * Check to see if there is a low-level interrupt active.
680 * If so, place a starting timestamp in the thread
681 * structure.
683 kthread_t *t = cpu->cpu_thread;
685 if (t->t_flag & T_INTR_THREAD)
686 t->t_intr_start = now;
689 mcpu->mcpu_pri = oldpil;
690 (void) (*setlvlx)(oldpil, vecnum);
692 return (cpu->cpu_intr_actv & CPU_INTR_ACTV_HIGH_LEVEL_MASK);
696 * Set up the cpu, thread and interrupt thread structures for
697 * executing an interrupt thread. The new stack pointer of the
698 * interrupt thread (which *must* be switched to) is returned.
700 static caddr_t
701 intr_thread_prolog(struct cpu *cpu, caddr_t stackptr, uint_t pil)
703 struct machcpu *mcpu = &cpu->cpu_m;
704 kthread_t *t, *volatile it;
705 hrtime_t now = tsc_read();
707 ASSERT(pil > 0);
708 ASSERT((cpu->cpu_intr_actv & (1 << pil)) == 0);
709 cpu->cpu_intr_actv |= (1 << pil);
712 * Get set to run an interrupt thread.
713 * There should always be an interrupt thread, since we
714 * allocate one for each level on each CPU.
716 * t_intr_start could be zero due to cpu_intr_swtch_enter.
718 t = cpu->cpu_thread;
719 if ((t->t_flag & T_INTR_THREAD) && t->t_intr_start != 0) {
720 hrtime_t intrtime = now - t->t_intr_start;
721 mcpu->intrstat[t->t_pil][0] += intrtime;
722 cpu->cpu_intracct[cpu->cpu_mstate] += intrtime;
723 t->t_intr_start = 0;
726 ASSERT(SA((uintptr_t)stackptr) == (uintptr_t)stackptr);
728 t->t_sp = (uintptr_t)stackptr; /* mark stack in curthread for resume */
731 * unlink the interrupt thread off the cpu
733 * Note that the code in kcpc_overflow_intr -relies- on the
734 * ordering of events here - in particular that t->t_lwp of
735 * the interrupt thread is set to the pinned thread *before*
736 * curthread is changed.
738 it = cpu->cpu_intr_thread;
739 cpu->cpu_intr_thread = it->t_link;
740 it->t_intr = t;
741 it->t_lwp = t->t_lwp;
744 * (threads on the interrupt thread free list could have state
745 * preset to TS_ONPROC, but it helps in debugging if
746 * they're TS_FREE.)
748 it->t_state = TS_ONPROC;
750 cpu->cpu_thread = it; /* new curthread on this cpu */
751 it->t_pil = (uchar_t)pil;
752 it->t_pri = intr_pri + (pri_t)pil;
753 it->t_intr_start = now;
755 return (it->t_stk);
759 #ifdef DEBUG
760 int intr_thread_cnt;
761 #endif
764 * Called with interrupts disabled
766 static void
767 intr_thread_epilog(struct cpu *cpu, uint_t vec, uint_t oldpil)
769 struct machcpu *mcpu = &cpu->cpu_m;
770 kthread_t *t;
771 kthread_t *it = cpu->cpu_thread; /* curthread */
772 uint_t pil, basespl;
773 hrtime_t intrtime;
774 hrtime_t now = tsc_read();
776 pil = it->t_pil;
777 cpu->cpu_stats.sys.intr[pil - 1]++;
779 ASSERT(it->t_intr_start != 0);
780 intrtime = now - it->t_intr_start;
781 mcpu->intrstat[pil][0] += intrtime;
782 cpu->cpu_intracct[cpu->cpu_mstate] += intrtime;
784 ASSERT(cpu->cpu_intr_actv & (1 << pil));
785 cpu->cpu_intr_actv &= ~(1 << pil);
788 * If there is still an interrupted thread underneath this one
789 * then the interrupt was never blocked and the return is
790 * fairly simple. Otherwise it isn't.
792 if ((t = it->t_intr) == NULL) {
794 * The interrupted thread is no longer pinned underneath
795 * the interrupt thread. This means the interrupt must
796 * have blocked, and the interrupted thread has been
797 * unpinned, and has probably been running around the
798 * system for a while.
800 * Since there is no longer a thread under this one, put
801 * this interrupt thread back on the CPU's free list and
802 * resume the idle thread which will dispatch the next
803 * thread to run.
805 #ifdef DEBUG
806 intr_thread_cnt++;
807 #endif
808 cpu->cpu_stats.sys.intrblk++;
810 * Set CPU's base SPL based on active interrupts bitmask
812 set_base_spl();
813 basespl = cpu->cpu_base_spl;
814 mcpu->mcpu_pri = basespl;
815 (*setlvlx)(basespl, vec);
816 (void) splhigh();
817 sti();
818 it->t_state = TS_FREE;
820 * Return interrupt thread to pool
822 it->t_link = cpu->cpu_intr_thread;
823 cpu->cpu_intr_thread = it;
824 swtch();
825 panic("intr_thread_epilog: swtch returned");
826 /*NOTREACHED*/
830 * Return interrupt thread to the pool
832 it->t_link = cpu->cpu_intr_thread;
833 cpu->cpu_intr_thread = it;
834 it->t_state = TS_FREE;
836 basespl = cpu->cpu_base_spl;
837 pil = MAX(oldpil, basespl);
838 mcpu->mcpu_pri = pil;
839 (*setlvlx)(pil, vec);
840 t->t_intr_start = now;
841 cpu->cpu_thread = t;
845 * intr_get_time() is a resource for interrupt handlers to determine how
846 * much time has been spent handling the current interrupt. Such a function
847 * is needed because higher level interrupts can arrive during the
848 * processing of an interrupt. intr_get_time() only returns time spent in the
849 * current interrupt handler.
851 * The caller must be calling from an interrupt handler running at a pil
852 * below or at lock level. Timings are not provided for high-level
853 * interrupts.
855 * The first time intr_get_time() is called while handling an interrupt,
856 * it returns the time since the interrupt handler was invoked. Subsequent
857 * calls will return the time since the prior call to intr_get_time(). Time
858 * is returned as ticks. Use scalehrtimef() to convert ticks to nsec.
860 * Theory Of Intrstat[][]:
862 * uint64_t intrstat[pil][0..1] is an array indexed by pil level, with two
863 * uint64_ts per pil.
865 * intrstat[pil][0] is a cumulative count of the number of ticks spent
866 * handling all interrupts at the specified pil on this CPU. It is
867 * exported via kstats to the user.
869 * intrstat[pil][1] is always a count of ticks less than or equal to the
870 * value in [0]. The difference between [1] and [0] is the value returned
871 * by a call to intr_get_time(). At the start of interrupt processing,
872 * [0] and [1] will be equal (or nearly so). As the interrupt consumes
873 * time, [0] will increase, but [1] will remain the same. A call to
874 * intr_get_time() will return the difference, then update [1] to be the
875 * same as [0]. Future calls will return the time since the last call.
876 * Finally, when the interrupt completes, [1] is updated to the same as [0].
878 * Implementation:
880 * intr_get_time() works much like a higher level interrupt arriving. It
881 * "checkpoints" the timing information by incrementing intrstat[pil][0]
882 * to include elapsed running time, and by setting t_intr_start to rdtsc.
883 * It then sets the return value to intrstat[pil][0] - intrstat[pil][1],
884 * and updates intrstat[pil][1] to be the same as the new value of
885 * intrstat[pil][0].
887 * In the normal handling of interrupts, after an interrupt handler returns
888 * and the code in intr_thread() updates intrstat[pil][0], it then sets
889 * intrstat[pil][1] to the new value of intrstat[pil][0]. When [0] == [1],
890 * the timings are reset, i.e. intr_get_time() will return [0] - [1] which
891 * is 0.
893 * Whenever interrupts arrive on a CPU which is handling a lower pil
894 * interrupt, they update the lower pil's [0] to show time spent in the
895 * handler that they've interrupted. This results in a growing discrepancy
896 * between [0] and [1], which is returned the next time intr_get_time() is
897 * called. Time spent in the higher-pil interrupt will not be returned in
898 * the next intr_get_time() call from the original interrupt, because
899 * the higher-pil interrupt's time is accumulated in intrstat[higherpil][].
901 uint64_t
902 intr_get_time(void)
904 struct cpu *cpu;
905 struct machcpu *mcpu;
906 kthread_t *t;
907 uint64_t time, delta, ret;
908 uint_t pil;
910 cli();
911 cpu = CPU;
912 mcpu = &cpu->cpu_m;
913 t = cpu->cpu_thread;
914 pil = t->t_pil;
915 ASSERT((cpu->cpu_intr_actv & CPU_INTR_ACTV_HIGH_LEVEL_MASK) == 0);
916 ASSERT(t->t_flag & T_INTR_THREAD);
917 ASSERT(pil != 0);
918 ASSERT(t->t_intr_start != 0);
920 time = tsc_read();
921 delta = time - t->t_intr_start;
922 t->t_intr_start = time;
924 time = mcpu->intrstat[pil][0] + delta;
925 ret = time - mcpu->intrstat[pil][1];
926 mcpu->intrstat[pil][0] = time;
927 mcpu->intrstat[pil][1] = time;
928 cpu->cpu_intracct[cpu->cpu_mstate] += delta;
930 sti();
931 return (ret);
934 static caddr_t
935 dosoftint_prolog(
936 struct cpu *cpu,
937 caddr_t stackptr,
938 uint32_t st_pending,
939 uint_t oldpil)
941 kthread_t *t, *volatile it;
942 struct machcpu *mcpu = &cpu->cpu_m;
943 uint_t pil;
944 hrtime_t now;
946 top:
947 ASSERT(st_pending == mcpu->mcpu_softinfo.st_pending);
949 pil = bsrw_insn((uint16_t)st_pending);
950 if (pil <= oldpil || pil <= cpu->cpu_base_spl)
951 return (0);
954 * XX64 Sigh.
956 * This is a transliteration of the i386 assembler code for
957 * soft interrupts. One question is "why does this need
958 * to be atomic?" One possible race is -other- processors
959 * posting soft interrupts to us in set_pending() i.e. the
960 * CPU might get preempted just after the address computation,
961 * but just before the atomic transaction, so another CPU would
962 * actually set the original CPU's st_pending bit. However,
963 * it looks like it would be simpler to disable preemption there.
964 * Are there other races for which preemption control doesn't work?
966 * The i386 assembler version -also- checks to see if the bit
967 * being cleared was actually set; if it wasn't, it rechecks
968 * for more. This seems a bit strange, as the only code that
969 * ever clears the bit is -this- code running with interrupts
970 * disabled on -this- CPU. This code would probably be cheaper:
972 * atomic_and_32((uint32_t *)&mcpu->mcpu_softinfo.st_pending,
973 * ~(1 << pil));
975 * and t->t_preempt--/++ around set_pending() even cheaper,
976 * but at this point, correctness is critical, so we slavishly
977 * emulate the i386 port.
979 if (atomic_btr32((uint32_t *)
980 &mcpu->mcpu_softinfo.st_pending, pil) == 0) {
981 st_pending = mcpu->mcpu_softinfo.st_pending;
982 goto top;
985 mcpu->mcpu_pri = pil;
986 (*setspl)(pil);
988 now = tsc_read();
991 * Get set to run interrupt thread.
992 * There should always be an interrupt thread since we
993 * allocate one for each level on the CPU.
995 it = cpu->cpu_intr_thread;
996 cpu->cpu_intr_thread = it->t_link;
998 /* t_intr_start could be zero due to cpu_intr_swtch_enter. */
999 t = cpu->cpu_thread;
1000 if ((t->t_flag & T_INTR_THREAD) && t->t_intr_start != 0) {
1001 hrtime_t intrtime = now - t->t_intr_start;
1002 mcpu->intrstat[pil][0] += intrtime;
1003 cpu->cpu_intracct[cpu->cpu_mstate] += intrtime;
1004 t->t_intr_start = 0;
1008 * Note that the code in kcpc_overflow_intr -relies- on the
1009 * ordering of events here - in particular that t->t_lwp of
1010 * the interrupt thread is set to the pinned thread *before*
1011 * curthread is changed.
1013 it->t_lwp = t->t_lwp;
1014 it->t_state = TS_ONPROC;
1017 * Push interrupted thread onto list from new thread.
1018 * Set the new thread as the current one.
1019 * Set interrupted thread's T_SP because if it is the idle thread,
1020 * resume() may use that stack between threads.
1023 ASSERT(SA((uintptr_t)stackptr) == (uintptr_t)stackptr);
1024 t->t_sp = (uintptr_t)stackptr;
1026 it->t_intr = t;
1027 cpu->cpu_thread = it;
1030 * Set bit for this pil in CPU's interrupt active bitmask.
1032 ASSERT((cpu->cpu_intr_actv & (1 << pil)) == 0);
1033 cpu->cpu_intr_actv |= (1 << pil);
1036 * Initialize thread priority level from intr_pri
1038 it->t_pil = (uchar_t)pil;
1039 it->t_pri = (pri_t)pil + intr_pri;
1040 it->t_intr_start = now;
1042 return (it->t_stk);
1045 static void
1046 dosoftint_epilog(struct cpu *cpu, uint_t oldpil)
1048 struct machcpu *mcpu = &cpu->cpu_m;
1049 kthread_t *t, *it;
1050 uint_t pil, basespl;
1051 hrtime_t intrtime;
1052 hrtime_t now = tsc_read();
1054 it = cpu->cpu_thread;
1055 pil = it->t_pil;
1057 cpu->cpu_stats.sys.intr[pil - 1]++;
1059 ASSERT(cpu->cpu_intr_actv & (1 << pil));
1060 cpu->cpu_intr_actv &= ~(1 << pil);
1061 intrtime = now - it->t_intr_start;
1062 mcpu->intrstat[pil][0] += intrtime;
1063 cpu->cpu_intracct[cpu->cpu_mstate] += intrtime;
1066 * If there is still an interrupted thread underneath this one
1067 * then the interrupt was never blocked and the return is
1068 * fairly simple. Otherwise it isn't.
1070 if ((t = it->t_intr) == NULL) {
1072 * Put thread back on the interrupt thread list.
1073 * This was an interrupt thread, so set CPU's base SPL.
1075 set_base_spl();
1076 it->t_state = TS_FREE;
1077 it->t_link = cpu->cpu_intr_thread;
1078 cpu->cpu_intr_thread = it;
1079 (void) splhigh();
1080 sti();
1081 swtch();
1082 /*NOTREACHED*/
1083 panic("dosoftint_epilog: swtch returned");
1085 it->t_link = cpu->cpu_intr_thread;
1086 cpu->cpu_intr_thread = it;
1087 it->t_state = TS_FREE;
1088 cpu->cpu_thread = t;
1089 if (t->t_flag & T_INTR_THREAD)
1090 t->t_intr_start = now;
1091 basespl = cpu->cpu_base_spl;
1092 pil = MAX(oldpil, basespl);
1093 mcpu->mcpu_pri = pil;
1094 (*setspl)(pil);
1099 * Make the interrupted thread 'to' be runnable.
1101 * Since t->t_sp has already been saved, t->t_pc is all
1102 * that needs to be set in this function.
1104 * Returns the interrupt level of the interrupt thread.
1107 intr_passivate(
1108 kthread_t *it, /* interrupt thread */
1109 kthread_t *t) /* interrupted thread */
1111 extern void _sys_rtt();
1113 ASSERT(it->t_flag & T_INTR_THREAD);
1114 ASSERT(SA(t->t_sp) == t->t_sp);
1116 t->t_pc = (uintptr_t)_sys_rtt;
1117 return (it->t_pil);
1121 * Create interrupt kstats for this CPU.
1123 void
1124 cpu_create_intrstat(cpu_t *cp)
1126 int i;
1127 kstat_t *intr_ksp;
1128 kstat_named_t *knp;
1129 char name[KSTAT_STRLEN];
1130 zoneid_t zoneid;
1132 ASSERT(MUTEX_HELD(&cpu_lock));
1134 if (pool_pset_enabled())
1135 zoneid = GLOBAL_ZONEID;
1136 else
1137 zoneid = ALL_ZONES;
1139 intr_ksp = kstat_create_zone("cpu", cp->cpu_id, "intrstat", "misc",
1140 KSTAT_TYPE_NAMED, PIL_MAX * 2, 0, zoneid);
1143 * Initialize each PIL's named kstat
1145 if (intr_ksp != NULL) {
1146 intr_ksp->ks_update = cpu_kstat_intrstat_update;
1147 knp = (kstat_named_t *)intr_ksp->ks_data;
1148 intr_ksp->ks_private = cp;
1149 for (i = 0; i < PIL_MAX; i++) {
1150 (void) snprintf(name, KSTAT_STRLEN, "level-%d-time",
1151 i + 1);
1152 kstat_named_init(&knp[i * 2], name, KSTAT_DATA_UINT64);
1153 (void) snprintf(name, KSTAT_STRLEN, "level-%d-count",
1154 i + 1);
1155 kstat_named_init(&knp[(i * 2) + 1], name,
1156 KSTAT_DATA_UINT64);
1158 kstat_install(intr_ksp);
1163 * Delete interrupt kstats for this CPU.
1165 void
1166 cpu_delete_intrstat(cpu_t *cp)
1168 kstat_delete_byname_zone("cpu", cp->cpu_id, "intrstat", ALL_ZONES);
1172 * Convert interrupt statistics from CPU ticks to nanoseconds and
1173 * update kstat.
1176 cpu_kstat_intrstat_update(kstat_t *ksp, int rw)
1178 kstat_named_t *knp = ksp->ks_data;
1179 cpu_t *cpup = (cpu_t *)ksp->ks_private;
1180 int i;
1181 hrtime_t hrt;
1183 if (rw == KSTAT_WRITE)
1184 return (EACCES);
1186 for (i = 0; i < PIL_MAX; i++) {
1187 hrt = (hrtime_t)cpup->cpu_m.intrstat[i + 1][0];
1188 scalehrtimef(&hrt);
1189 knp[i * 2].value.ui64 = (uint64_t)hrt;
1190 knp[(i * 2) + 1].value.ui64 = cpup->cpu_stats.sys.intr[i];
1193 return (0);
1197 * An interrupt thread is ending a time slice, so compute the interval it
1198 * ran for and update the statistic for its PIL.
1200 void
1201 cpu_intr_swtch_enter(kthread_id_t t)
1203 uint64_t interval;
1204 uint64_t start;
1205 cpu_t *cpu;
1207 ASSERT((t->t_flag & T_INTR_THREAD) != 0);
1208 ASSERT(t->t_pil > 0 && t->t_pil <= LOCK_LEVEL);
1211 * We could be here with a zero timestamp. This could happen if:
1212 * an interrupt thread which no longer has a pinned thread underneath
1213 * it (i.e. it blocked at some point in its past) has finished running
1214 * its handler. intr_thread() updated the interrupt statistic for its
1215 * PIL and zeroed its timestamp. Since there was no pinned thread to
1216 * return to, swtch() gets called and we end up here.
1218 * Note that we use atomic ops below (atomic_cas_64 and
1219 * atomic_add_64), which we don't use in the functions above,
1220 * because we're not called with interrupts blocked, but the
1221 * epilog/prolog functions are.
1223 if (t->t_intr_start) {
1224 do {
1225 start = t->t_intr_start;
1226 interval = tsc_read() - start;
1227 } while (atomic_cas_64(&t->t_intr_start, start, 0) != start);
1228 cpu = CPU;
1229 cpu->cpu_m.intrstat[t->t_pil][0] += interval;
1231 atomic_add_64((uint64_t *)&cpu->cpu_intracct[cpu->cpu_mstate],
1232 interval);
1233 } else
1234 ASSERT(t->t_intr == NULL);
1238 * An interrupt thread is returning from swtch(). Place a starting timestamp
1239 * in its thread structure.
1241 void
1242 cpu_intr_swtch_exit(kthread_id_t t)
1244 uint64_t ts;
1246 ASSERT((t->t_flag & T_INTR_THREAD) != 0);
1247 ASSERT(t->t_pil > 0 && t->t_pil <= LOCK_LEVEL);
1249 do {
1250 ts = t->t_intr_start;
1251 } while (atomic_cas_64(&t->t_intr_start, ts, tsc_read()) != ts);
1255 * Dispatch a hilevel interrupt (one above LOCK_LEVEL)
1257 /*ARGSUSED*/
1258 static void
1259 dispatch_hilevel(uint_t vector, uint_t arg2)
1261 sti();
1262 av_dispatch_autovect(vector);
1263 cli();
1267 * Dispatch a soft interrupt
1269 /*ARGSUSED*/
1270 static void
1271 dispatch_softint(uint_t oldpil, uint_t arg2)
1273 struct cpu *cpu = CPU;
1275 sti();
1276 av_dispatch_softvect((int)cpu->cpu_thread->t_pil);
1277 cli();
1280 * Must run softint_epilog() on the interrupt thread stack, since
1281 * there may not be a return from it if the interrupt thread blocked.
1283 dosoftint_epilog(cpu, oldpil);
1287 * Dispatch a normal interrupt
1289 static void
1290 dispatch_hardint(uint_t vector, uint_t oldipl)
1292 struct cpu *cpu = CPU;
1294 sti();
1295 av_dispatch_autovect(vector);
1296 cli();
1299 * Must run intr_thread_epilog() on the interrupt thread stack, since
1300 * there may not be a return from it if the interrupt thread blocked.
1302 intr_thread_epilog(cpu, vector, oldipl);
1306 * Deliver any softints the current interrupt priority allows.
1307 * Called with interrupts disabled.
1309 void
1310 dosoftint(struct regs *regs)
1312 struct cpu *cpu = CPU;
1313 int oldipl;
1314 caddr_t newsp;
1316 while (cpu->cpu_softinfo.st_pending) {
1317 oldipl = cpu->cpu_pri;
1318 newsp = dosoftint_prolog(cpu, (caddr_t)regs,
1319 cpu->cpu_softinfo.st_pending, oldipl);
1321 * If returned stack pointer is NULL, priority is too high
1322 * to run any of the pending softints now.
1323 * Break out and they will be run later.
1325 if (newsp == NULL)
1326 break;
1327 switch_sp_and_call(newsp, dispatch_softint, oldipl, 0);
1332 * Interrupt service routine, called with interrupts disabled.
1334 /*ARGSUSED*/
1335 void
1336 do_interrupt(struct regs *rp, trap_trace_rec_t *ttp)
1338 struct cpu *cpu = CPU;
1339 int newipl, oldipl = cpu->cpu_pri;
1340 uint_t vector;
1341 caddr_t newsp;
1343 #ifdef TRAPTRACE
1344 ttp->ttr_marker = TT_INTERRUPT;
1345 ttp->ttr_ipl = 0xff;
1346 ttp->ttr_pri = oldipl;
1347 ttp->ttr_spl = cpu->cpu_base_spl;
1348 ttp->ttr_vector = 0xff;
1349 #endif /* TRAPTRACE */
1351 cpu_idle_exit(CPU_IDLE_CB_FLAG_INTR);
1353 ++*(uint16_t *)&cpu->cpu_m.mcpu_istamp;
1356 * If it's a softint go do it now.
1358 if (rp->r_trapno == T_SOFTINT) {
1359 dosoftint(rp);
1360 ASSERT(!interrupts_enabled());
1361 return;
1365 * Raise the interrupt priority.
1367 newipl = (*setlvl)(oldipl, (int *)&rp->r_trapno);
1368 #ifdef TRAPTRACE
1369 ttp->ttr_ipl = newipl;
1370 #endif /* TRAPTRACE */
1373 * Bail if it is a spurious interrupt
1375 if (newipl == -1)
1376 return;
1377 cpu->cpu_pri = newipl;
1378 vector = rp->r_trapno;
1379 #ifdef TRAPTRACE
1380 ttp->ttr_vector = vector;
1381 #endif /* TRAPTRACE */
1382 if (newipl > LOCK_LEVEL) {
1384 * High priority interrupts run on this cpu's interrupt stack.
1386 if (hilevel_intr_prolog(cpu, newipl, oldipl, rp) == 0) {
1387 newsp = cpu->cpu_intr_stack;
1388 switch_sp_and_call(newsp, dispatch_hilevel, vector, 0);
1389 } else { /* already on the interrupt stack */
1390 dispatch_hilevel(vector, 0);
1392 (void) hilevel_intr_epilog(cpu, newipl, oldipl, vector);
1393 } else {
1395 * Run this interrupt in a separate thread.
1397 newsp = intr_thread_prolog(cpu, (caddr_t)rp, newipl);
1398 switch_sp_and_call(newsp, dispatch_hardint, vector, oldipl);
1402 * Deliver any pending soft interrupts.
1404 if (cpu->cpu_softinfo.st_pending)
1405 dosoftint(rp);
1410 * Common tasks always done by _sys_rtt, called with interrupts disabled.
1411 * Returns 1 if returning to userland, 0 if returning to system mode.
1414 sys_rtt_common(struct regs *rp)
1416 kthread_t *tp;
1417 extern void mutex_exit_critical_start();
1418 extern long mutex_exit_critical_size;
1419 extern void mutex_owner_running_critical_start();
1420 extern long mutex_owner_running_critical_size;
1422 loop:
1425 * Check if returning to user
1427 tp = CPU->cpu_thread;
1428 if (USERMODE(rp->r_cs)) {
1430 * Check if AST pending.
1432 if (tp->t_astflag) {
1434 * Let trap() handle the AST
1436 sti();
1437 rp->r_trapno = T_AST;
1438 trap(rp, (caddr_t)0, CPU->cpu_id);
1439 cli();
1440 goto loop;
1443 #if defined(__amd64)
1445 * We are done if segment registers do not need updating.
1447 if (tp->t_lwp->lwp_pcb.pcb_rupdate == 0)
1448 return (1);
1450 if (update_sregs(rp, tp->t_lwp)) {
1452 * 1 or more of the selectors is bad.
1453 * Deliver a SIGSEGV.
1455 proc_t *p = ttoproc(tp);
1457 sti();
1458 mutex_enter(&p->p_lock);
1459 tp->t_lwp->lwp_cursig = SIGSEGV;
1460 mutex_exit(&p->p_lock);
1461 psig();
1462 tp->t_sig_check = 1;
1463 cli();
1465 tp->t_lwp->lwp_pcb.pcb_rupdate = 0;
1467 #endif /* __amd64 */
1468 return (1);
1472 * Here if we are returning to supervisor mode.
1473 * Check for a kernel preemption request.
1475 if (CPU->cpu_kprunrun && (rp->r_ps & PS_IE)) {
1478 * Do nothing if already in kpreempt
1480 if (!tp->t_preempt_lk) {
1481 tp->t_preempt_lk = 1;
1482 sti();
1483 kpreempt(1); /* asynchronous kpreempt call */
1484 cli();
1485 tp->t_preempt_lk = 0;
1490 * If we interrupted the mutex_exit() critical region we must
1491 * reset the PC back to the beginning to prevent missed wakeups
1492 * See the comments in mutex_exit() for details.
1494 if ((uintptr_t)rp->r_pc - (uintptr_t)mutex_exit_critical_start <
1495 mutex_exit_critical_size) {
1496 rp->r_pc = (greg_t)mutex_exit_critical_start;
1500 * If we interrupted the mutex_owner_running() critical region we
1501 * must reset the PC back to the beginning to prevent dereferencing
1502 * of a freed thread pointer. See the comments in mutex_owner_running
1503 * for details.
1505 if ((uintptr_t)rp->r_pc -
1506 (uintptr_t)mutex_owner_running_critical_start <
1507 mutex_owner_running_critical_size) {
1508 rp->r_pc = (greg_t)mutex_owner_running_critical_start;
1511 return (0);
1514 void
1515 send_dirint(int cpuid, int int_level)
1517 (*send_dirintf)(cpuid, int_level);
1520 #define IS_FAKE_SOFTINT(flag, newpri) \
1521 (((flag) & PS_IE) && \
1522 (((*get_pending_spl)() > (newpri)) || \
1523 bsrw_insn((uint16_t)cpu->cpu_softinfo.st_pending) > (newpri)))
1526 * do_splx routine, takes new ipl to set
1527 * returns the old ipl.
1528 * We are careful not to set priority lower than CPU->cpu_base_pri,
1529 * even though it seems we're raising the priority, it could be set
1530 * higher at any time by an interrupt routine, so we must block interrupts
1531 * and look at CPU->cpu_base_pri
1534 do_splx(int newpri)
1536 ulong_t flag;
1537 cpu_t *cpu;
1538 int curpri, basepri;
1540 flag = intr_clear();
1541 cpu = CPU; /* ints are disabled, now safe to cache cpu ptr */
1542 curpri = cpu->cpu_m.mcpu_pri;
1543 basepri = cpu->cpu_base_spl;
1544 if (newpri < basepri)
1545 newpri = basepri;
1546 cpu->cpu_m.mcpu_pri = newpri;
1547 (*setspl)(newpri);
1549 * If we are going to reenable interrupts see if new priority level
1550 * allows pending softint delivery.
1552 if (IS_FAKE_SOFTINT(flag, newpri))
1553 fakesoftint();
1554 ASSERT(!interrupts_enabled());
1555 intr_restore(flag);
1556 return (curpri);
1560 * Common spl raise routine, takes new ipl to set
1561 * returns the old ipl, will not lower ipl.
1564 splr(int newpri)
1566 ulong_t flag;
1567 cpu_t *cpu;
1568 int curpri, basepri;
1570 flag = intr_clear();
1571 cpu = CPU; /* ints are disabled, now safe to cache cpu ptr */
1572 curpri = cpu->cpu_m.mcpu_pri;
1574 * Only do something if new priority is larger
1576 if (newpri > curpri) {
1577 basepri = cpu->cpu_base_spl;
1578 if (newpri < basepri)
1579 newpri = basepri;
1580 cpu->cpu_m.mcpu_pri = newpri;
1581 (*setspl)(newpri);
1583 * See if new priority level allows pending softint delivery
1585 if (IS_FAKE_SOFTINT(flag, newpri))
1586 fakesoftint();
1588 intr_restore(flag);
1589 return (curpri);
1593 getpil(void)
1595 return (CPU->cpu_m.mcpu_pri);
1599 spl_xcall(void)
1601 return (splr(ipltospl(XCALL_PIL)));
1605 interrupts_enabled(void)
1607 ulong_t flag;
1609 flag = getflags();
1610 return ((flag & PS_IE) == PS_IE);
1613 #ifdef DEBUG
1614 void
1615 assert_ints_enabled(void)
1617 ASSERT(!interrupts_unleashed || interrupts_enabled());
1619 #endif /* DEBUG */