Moved signal waitfor capability from Signalsource to Signal, added test sets for...
[tcl-tlc.git] / tlc-base.tcl
blobc58762c078a8e2617b22a24d91ee7153334958a0
1 package require Tcl 8.4
2 package require Itcl 3.3
4 namespace eval ::tlc {
5 namespace export *
7 variable version 0.92.1
9 variable log
10 set log(threshold) 20
13 source [file join $::tlc::library scripts intersect3.tcl]
14 lappend auto_path [file join $::tlc::library scripts]
16 tlc::Uri tlc::uri
18 package provide TLC-base $::tlc::version
20 namespace import -force itcl::*