flow: struct_assignments: turn this code on
commit3ef1e73ee3f7fcbe01a8800bc1c0017e97b46e4c
authorDan Carpenter <dan.carpenter@oracle.com>
Tue, 21 Jan 2014 11:32:17 +0000 (21 14:32 +0300)
committerDan Carpenter <dan.carpenter@oracle.com>
Tue, 21 Jan 2014 11:32:17 +0000 (21 14:32 +0300)
treeadf730003aade64cf70986651c9679fbc82e6dfd
parent2c4521d202b7d96512a47ec1388fc1695edc2fe0
flow: struct_assignments: turn this code on

Oops..  I forgot to commit this line where we actually do the fake
assignment.

I wanted it to be explicit instead of a hook because it is key to
understanding smatch_flow.c.

Signed-off-by: Dan Carpenter <dan.carpenter@oracle.com>
smatch_flow.c