Fix parport_dcl5 config file.
[openocd/dave.git] / tcl / interface / parport_dlc5.cfg
blob85caefc7595ff289ce4b304fc8a8a9e428ceff00
2 # Xilinx Parallel Cable III 'DLC 5' (and various clones)
4 # http://www.xilinx.com/itp/xilinx4/data/docs/pac/appendixb.html
7 interface parport
8 parport_port 0
9 parport_cable dlc5