zy1000.cfg: gdb connect will fail first time without gdb-attach
[openocd/cortex.git] / src / jtag / core.c
blob65ca8245773ed1d25a57a7e9bfdf319461f63f05
1 /***************************************************************************
2 * Copyright (C) 2009 Zachary T Welch *
3 * zw@superlucidity.net *
4 * *
5 * Copyright (C) 2007,2008,2009 Øyvind Harboe *
6 * oyvind.harboe@zylin.com *
7 * *
8 * Copyright (C) 2009 SoftPLC Corporation *
9 * http://softplc.com *
10 * dick@softplc.com *
11 * *
12 * Copyright (C) 2005 by Dominic Rath *
13 * Dominic.Rath@gmx.de *
14 * *
15 * This program is free software; you can redistribute it and/or modify *
16 * it under the terms of the GNU General Public License as published by *
17 * the Free Software Foundation; either version 2 of the License, or *
18 * (at your option) any later version. *
19 * *
20 * This program is distributed in the hope that it will be useful, *
21 * but WITHOUT ANY WARRANTY; without even the implied warranty of *
22 * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the *
23 * GNU General Public License for more details. *
24 * *
25 * You should have received a copy of the GNU General Public License *
26 * along with this program; if not, write to the *
27 * Free Software Foundation, Inc., *
28 * 59 Temple Place - Suite 330, Boston, MA 02111-1307, USA. *
29 ***************************************************************************/
30 #ifdef HAVE_CONFIG_H
31 #include "config.h"
32 #endif
34 #include "jtag.h"
35 #include "interface.h"
37 #ifdef HAVE_STRINGS_H
38 #include <strings.h>
39 #endif
42 /// The number of JTAG queue flushes (for profiling and debugging purposes).
43 static int jtag_flush_queue_count;
45 static void jtag_add_scan_check(struct jtag_tap *active,
46 void (*jtag_add_scan)(struct jtag_tap *active, int in_num_fields, const struct scan_field *in_fields, tap_state_t state),
47 int in_num_fields, struct scan_field *in_fields, tap_state_t state);
49 /**
50 * The jtag_error variable is set when an error occurs while executing
51 * the queue. Application code may set this using jtag_set_error(),
52 * when an error occurs during processing that should be reported during
53 * jtag_execute_queue().
55 * Tts value may be checked with jtag_get_error() and cleared with
56 * jtag_error_clear(). This value is returned (and cleared) by
57 * jtag_execute_queue().
59 static int jtag_error = ERROR_OK;
61 static const char *jtag_event_strings[] =
63 [JTAG_TRST_ASSERTED] = "TAP reset",
64 [JTAG_TAP_EVENT_SETUP] = "TAP setup",
65 [JTAG_TAP_EVENT_ENABLE] = "TAP enabled",
66 [JTAG_TAP_EVENT_DISABLE] = "TAP disabled",
70 * JTAG adapters must initialize with TRST and SRST de-asserted
71 * (they're negative logic, so that means *high*). But some
72 * hardware doesn't necessarily work that way ... so set things
73 * up so that jtag_init() always forces that state.
75 static int jtag_trst = -1;
76 static int jtag_srst = -1;
78 /**
79 * List all TAPs that have been created.
81 static struct jtag_tap *__jtag_all_taps = NULL;
82 /**
83 * The number of TAPs in the __jtag_all_taps list, used to track the
84 * assigned chain position to new TAPs
86 static unsigned jtag_num_taps = 0;
88 static enum reset_types jtag_reset_config = RESET_NONE;
89 tap_state_t cmd_queue_cur_state = TAP_RESET;
91 static bool jtag_verify_capture_ir = true;
92 static int jtag_verify = 1;
94 /* how long the OpenOCD should wait before attempting JTAG communication after reset lines deasserted (in ms) */
95 static int adapter_nsrst_delay = 0; /* default to no nSRST delay */
96 static int jtag_ntrst_delay = 0; /* default to no nTRST delay */
97 static int adapter_nsrst_assert_width = 0; /* width of assertion */
98 static int jtag_ntrst_assert_width = 0; /* width of assertion */
101 * Contains a single callback along with a pointer that will be passed
102 * when an event occurs.
104 struct jtag_event_callback {
105 /// a event callback
106 jtag_event_handler_t callback;
107 /// the private data to pass to the callback
108 void* priv;
109 /// the next callback
110 struct jtag_event_callback* next;
113 /* callbacks to inform high-level handlers about JTAG state changes */
114 static struct jtag_event_callback *jtag_event_callbacks;
116 /* speed in kHz*/
117 static int speed_khz = 0;
118 /* speed to fallback to when RCLK is requested but not supported */
119 static int rclk_fallback_speed_khz = 0;
120 static enum {CLOCK_MODE_SPEED, CLOCK_MODE_KHZ, CLOCK_MODE_RCLK} clock_mode;
121 static int jtag_speed = 0;
123 static struct jtag_interface *jtag = NULL;
125 /* configuration */
126 struct jtag_interface *jtag_interface = NULL;
128 void jtag_set_error(int error)
130 if ((error == ERROR_OK) || (jtag_error != ERROR_OK))
131 return;
132 jtag_error = error;
134 int jtag_get_error(void)
136 return jtag_error;
138 int jtag_error_clear(void)
140 int temp = jtag_error;
141 jtag_error = ERROR_OK;
142 return temp;
145 /************/
147 static bool jtag_poll = 1;
149 bool is_jtag_poll_safe(void)
151 /* Polling can be disabled explicitly with set_enabled(false).
152 * It is also implicitly disabled while TRST is active and
153 * while SRST is gating the JTAG clock.
155 if (!jtag_poll || jtag_trst != 0)
156 return false;
157 return jtag_srst == 0 || (jtag_reset_config & RESET_SRST_NO_GATING);
160 bool jtag_poll_get_enabled(void)
162 return jtag_poll;
165 void jtag_poll_set_enabled(bool value)
167 jtag_poll = value;
170 /************/
172 struct jtag_tap *jtag_all_taps(void)
174 return __jtag_all_taps;
177 unsigned jtag_tap_count(void)
179 return jtag_num_taps;
182 unsigned jtag_tap_count_enabled(void)
184 struct jtag_tap *t = jtag_all_taps();
185 unsigned n = 0;
186 while (t)
188 if (t->enabled)
189 n++;
190 t = t->next_tap;
192 return n;
195 /// Append a new TAP to the chain of all taps.
196 void jtag_tap_add(struct jtag_tap *t)
198 t->abs_chain_position = jtag_num_taps++;
200 struct jtag_tap **tap = &__jtag_all_taps;
201 while (*tap != NULL)
202 tap = &(*tap)->next_tap;
203 *tap = t;
206 /* returns a pointer to the n-th device in the scan chain */
207 static inline struct jtag_tap *jtag_tap_by_position(unsigned n)
209 struct jtag_tap *t = jtag_all_taps();
211 while (t && n-- > 0)
212 t = t->next_tap;
214 return t;
217 struct jtag_tap *jtag_tap_by_string(const char *s)
219 /* try by name first */
220 struct jtag_tap *t = jtag_all_taps();
222 while (t)
224 if (0 == strcmp(t->dotted_name, s))
225 return t;
226 t = t->next_tap;
229 /* no tap found by name, so try to parse the name as a number */
230 unsigned n;
231 if (parse_uint(s, &n) != ERROR_OK)
232 return NULL;
234 /* FIXME remove this numeric fallback code late June 2010, along
235 * with all info in the User's Guide that TAPs have numeric IDs.
236 * Also update "scan_chain" output to not display the numbers.
238 t = jtag_tap_by_position(n);
239 if (t)
240 LOG_WARNING("Specify TAP '%s' by name, not number %u",
241 t->dotted_name, n);
243 return t;
246 struct jtag_tap* jtag_tap_next_enabled(struct jtag_tap* p)
248 p = p ? p->next_tap : jtag_all_taps();
249 while (p)
251 if (p->enabled)
252 return p;
253 p = p->next_tap;
255 return NULL;
258 const char *jtag_tap_name(const struct jtag_tap *tap)
260 return (tap == NULL) ? "(unknown)" : tap->dotted_name;
264 int jtag_register_event_callback(jtag_event_handler_t callback, void *priv)
266 struct jtag_event_callback **callbacks_p = &jtag_event_callbacks;
268 if (callback == NULL)
270 return ERROR_INVALID_ARGUMENTS;
273 if (*callbacks_p)
275 while ((*callbacks_p)->next)
276 callbacks_p = &((*callbacks_p)->next);
277 callbacks_p = &((*callbacks_p)->next);
280 (*callbacks_p) = malloc(sizeof(struct jtag_event_callback));
281 (*callbacks_p)->callback = callback;
282 (*callbacks_p)->priv = priv;
283 (*callbacks_p)->next = NULL;
285 return ERROR_OK;
288 int jtag_unregister_event_callback(jtag_event_handler_t callback, void *priv)
290 struct jtag_event_callback **callbacks_p;
291 struct jtag_event_callback **next;
293 if (callback == NULL)
295 return ERROR_INVALID_ARGUMENTS;
298 for (callbacks_p = &jtag_event_callbacks;
299 *callbacks_p != NULL;
300 callbacks_p = next)
302 next = &((*callbacks_p)->next);
304 if ((*callbacks_p)->priv != priv)
305 continue;
307 if ((*callbacks_p)->callback == callback)
309 free(*callbacks_p);
310 *callbacks_p = *next;
314 return ERROR_OK;
317 int jtag_call_event_callbacks(enum jtag_event event)
319 struct jtag_event_callback *callback = jtag_event_callbacks;
321 LOG_DEBUG("jtag event: %s", jtag_event_strings[event]);
323 while (callback)
325 struct jtag_event_callback *next;
327 /* callback may remove itself */
328 next = callback->next;
329 callback->callback(event, callback->priv);
330 callback = next;
333 return ERROR_OK;
336 static void jtag_checks(void)
338 assert(jtag_trst == 0);
341 static void jtag_prelude(tap_state_t state)
343 jtag_checks();
345 assert(state != TAP_INVALID);
347 cmd_queue_cur_state = state;
350 void jtag_alloc_in_value32(struct scan_field *field)
352 interface_jtag_alloc_in_value32(field);
355 void jtag_add_ir_scan_noverify(struct jtag_tap *active, const struct scan_field *in_fields,
356 tap_state_t state)
358 jtag_prelude(state);
360 int retval = interface_jtag_add_ir_scan(active, in_fields, state);
361 jtag_set_error(retval);
364 static void jtag_add_ir_scan_noverify_callback(struct jtag_tap *active, int dummy, const struct scan_field *in_fields,
365 tap_state_t state)
367 jtag_add_ir_scan_noverify(active, in_fields, state);
370 void jtag_add_ir_scan(struct jtag_tap *active, struct scan_field *in_fields, tap_state_t state)
372 assert(state != TAP_RESET);
374 if (jtag_verify && jtag_verify_capture_ir)
376 /* 8 x 32 bit id's is enough for all invocations */
378 /* if we are to run a verification of the ir scan, we need to get the input back.
379 * We may have to allocate space if the caller didn't ask for the input back.
381 in_fields->check_value = active->expected;
382 in_fields->check_mask = active->expected_mask;
383 jtag_add_scan_check(active, jtag_add_ir_scan_noverify_callback, 1, in_fields, state);
384 } else
386 jtag_add_ir_scan_noverify(active, in_fields, state);
390 void jtag_add_plain_ir_scan(int num_bits, const uint8_t *out_bits, uint8_t *in_bits,
391 tap_state_t state)
393 assert(out_bits != NULL);
394 assert(state != TAP_RESET);
396 jtag_prelude(state);
398 int retval = interface_jtag_add_plain_ir_scan(
399 num_bits, out_bits, in_bits, state);
400 jtag_set_error(retval);
403 static int jtag_check_value_inner(uint8_t *captured, uint8_t *in_check_value,
404 uint8_t *in_check_mask, int num_bits);
406 static int jtag_check_value_mask_callback(jtag_callback_data_t data0, jtag_callback_data_t data1, jtag_callback_data_t data2, jtag_callback_data_t data3)
408 return jtag_check_value_inner((uint8_t *)data0, (uint8_t *)data1, (uint8_t *)data2, (int)data3);
411 static void jtag_add_scan_check(struct jtag_tap *active, void (*jtag_add_scan)(struct jtag_tap *active, int in_num_fields, const struct scan_field *in_fields, tap_state_t state),
412 int in_num_fields, struct scan_field *in_fields, tap_state_t state)
414 for (int i = 0; i < in_num_fields; i++)
416 struct scan_field *field = &in_fields[i];
417 field->allocated = 0;
418 field->modified = 0;
419 if (field->check_value || field->in_value)
420 continue;
421 interface_jtag_add_scan_check_alloc(field);
422 field->modified = 1;
425 jtag_add_scan(active, in_num_fields, in_fields, state);
427 for (int i = 0; i < in_num_fields; i++)
429 if ((in_fields[i].check_value != NULL) && (in_fields[i].in_value != NULL))
431 /* this is synchronous for a minidriver */
432 jtag_add_callback4(jtag_check_value_mask_callback, (jtag_callback_data_t)in_fields[i].in_value,
433 (jtag_callback_data_t)in_fields[i].check_value,
434 (jtag_callback_data_t)in_fields[i].check_mask,
435 (jtag_callback_data_t)in_fields[i].num_bits);
437 if (in_fields[i].allocated)
439 free(in_fields[i].in_value);
441 if (in_fields[i].modified)
443 in_fields[i].in_value = NULL;
448 void jtag_add_dr_scan_check(struct jtag_tap *active, int in_num_fields, struct scan_field *in_fields, tap_state_t state)
450 if (jtag_verify)
452 jtag_add_scan_check(active, jtag_add_dr_scan, in_num_fields, in_fields, state);
453 } else
455 jtag_add_dr_scan(active, in_num_fields, in_fields, state);
460 void jtag_add_dr_scan(struct jtag_tap *active, int in_num_fields, const struct scan_field *in_fields,
461 tap_state_t state)
463 assert(state != TAP_RESET);
465 jtag_prelude(state);
467 int retval;
468 retval = interface_jtag_add_dr_scan(active, in_num_fields, in_fields, state);
469 jtag_set_error(retval);
472 void jtag_add_plain_dr_scan(int num_bits, const uint8_t *out_bits, uint8_t *in_bits,
473 tap_state_t state)
475 assert(out_bits != NULL);
476 assert(state != TAP_RESET);
478 jtag_prelude(state);
480 int retval;
481 retval = interface_jtag_add_plain_dr_scan(num_bits, out_bits, in_bits, state);
482 jtag_set_error(retval);
485 void jtag_add_tlr(void)
487 jtag_prelude(TAP_RESET);
488 jtag_set_error(interface_jtag_add_tlr());
490 /* NOTE: order here matches TRST path in jtag_add_reset() */
491 jtag_call_event_callbacks(JTAG_TRST_ASSERTED);
492 jtag_notify_event(JTAG_TRST_ASSERTED);
496 * If supported by the underlying adapter, this clocks a raw bit sequence
497 * onto TMS for switching betwen JTAG and SWD modes.
499 * DO NOT use this to bypass the integrity checks and logging provided
500 * by the jtag_add_pathmove() and jtag_add_statemove() calls.
502 * @param nbits How many bits to clock out.
503 * @param seq The bit sequence. The LSB is bit 0 of seq[0].
504 * @param state The JTAG tap state to record on completion. Use
505 * TAP_INVALID to represent being in in SWD mode.
507 * @todo Update naming conventions to stop assuming everything is JTAG.
509 int jtag_add_tms_seq(unsigned nbits, const uint8_t *seq, enum tap_state state)
511 int retval;
513 if (!(jtag->supported & DEBUG_CAP_TMS_SEQ))
514 return ERROR_JTAG_NOT_IMPLEMENTED;
516 jtag_checks();
517 cmd_queue_cur_state = state;
519 retval = interface_add_tms_seq(nbits, seq, state);
520 jtag_set_error(retval);
521 return retval;
524 void jtag_add_pathmove(int num_states, const tap_state_t *path)
526 tap_state_t cur_state = cmd_queue_cur_state;
528 /* the last state has to be a stable state */
529 if (!tap_is_state_stable(path[num_states - 1]))
531 LOG_ERROR("BUG: TAP path doesn't finish in a stable state");
532 jtag_set_error(ERROR_JTAG_NOT_STABLE_STATE);
533 return;
536 for (int i = 0; i < num_states; i++)
538 if (path[i] == TAP_RESET)
540 LOG_ERROR("BUG: TAP_RESET is not a valid state for pathmove sequences");
541 jtag_set_error(ERROR_JTAG_STATE_INVALID);
542 return;
545 if (tap_state_transition(cur_state, true) != path[i]
546 && tap_state_transition(cur_state, false) != path[i])
548 LOG_ERROR("BUG: %s -> %s isn't a valid TAP transition",
549 tap_state_name(cur_state), tap_state_name(path[i]));
550 jtag_set_error(ERROR_JTAG_TRANSITION_INVALID);
551 return;
553 cur_state = path[i];
556 jtag_checks();
558 jtag_set_error(interface_jtag_add_pathmove(num_states, path));
559 cmd_queue_cur_state = path[num_states - 1];
562 int jtag_add_statemove(tap_state_t goal_state)
564 tap_state_t cur_state = cmd_queue_cur_state;
566 if (goal_state != cur_state)
568 LOG_DEBUG("cur_state=%s goal_state=%s",
569 tap_state_name(cur_state),
570 tap_state_name(goal_state));
573 /* If goal is RESET, be paranoid and force that that transition
574 * (e.g. five TCK cycles, TMS high). Else trust "cur_state".
576 if (goal_state == TAP_RESET)
577 jtag_add_tlr();
578 else if (goal_state == cur_state)
579 /* nothing to do */ ;
581 else if (tap_is_state_stable(cur_state) && tap_is_state_stable(goal_state))
583 unsigned tms_bits = tap_get_tms_path(cur_state, goal_state);
584 unsigned tms_count = tap_get_tms_path_len(cur_state, goal_state);
585 tap_state_t moves[8];
586 assert(tms_count < ARRAY_SIZE(moves));
588 for (unsigned i = 0; i < tms_count; i++, tms_bits >>= 1)
590 bool bit = tms_bits & 1;
592 cur_state = tap_state_transition(cur_state, bit);
593 moves[i] = cur_state;
596 jtag_add_pathmove(tms_count, moves);
598 else if (tap_state_transition(cur_state, true) == goal_state
599 || tap_state_transition(cur_state, false) == goal_state)
601 jtag_add_pathmove(1, &goal_state);
604 else
605 return ERROR_FAIL;
607 return ERROR_OK;
610 void jtag_add_runtest(int num_cycles, tap_state_t state)
612 jtag_prelude(state);
613 jtag_set_error(interface_jtag_add_runtest(num_cycles, state));
617 void jtag_add_clocks(int num_cycles)
619 if (!tap_is_state_stable(cmd_queue_cur_state))
621 LOG_ERROR("jtag_add_clocks() called with TAP in unstable state \"%s\"",
622 tap_state_name(cmd_queue_cur_state));
623 jtag_set_error(ERROR_JTAG_NOT_STABLE_STATE);
624 return;
627 if (num_cycles > 0)
629 jtag_checks();
630 jtag_set_error(interface_jtag_add_clocks(num_cycles));
634 void jtag_add_reset(int req_tlr_or_trst, int req_srst)
636 int trst_with_tlr = 0;
637 int new_srst = 0;
638 int new_trst = 0;
640 /* Without SRST, we must use target-specific JTAG operations
641 * on each target; callers should not be requesting SRST when
642 * that signal doesn't exist.
644 * RESET_SRST_PULLS_TRST is a board or chip level quirk, which
645 * can kick in even if the JTAG adapter can't drive TRST.
647 if (req_srst) {
648 if (!(jtag_reset_config & RESET_HAS_SRST)) {
649 LOG_ERROR("BUG: can't assert SRST");
650 jtag_set_error(ERROR_FAIL);
651 return;
653 if ((jtag_reset_config & RESET_SRST_PULLS_TRST) != 0
654 && !req_tlr_or_trst) {
655 LOG_ERROR("BUG: can't assert only SRST");
656 jtag_set_error(ERROR_FAIL);
657 return;
659 new_srst = 1;
662 /* JTAG reset (entry to TAP_RESET state) can always be achieved
663 * using TCK and TMS; that may go through a TAP_{IR,DR}UPDATE
664 * state first. TRST accelerates it, and bypasses those states.
666 * RESET_TRST_PULLS_SRST is a board or chip level quirk, which
667 * can kick in even if the JTAG adapter can't drive SRST.
669 if (req_tlr_or_trst) {
670 if (!(jtag_reset_config & RESET_HAS_TRST))
671 trst_with_tlr = 1;
672 else if ((jtag_reset_config & RESET_TRST_PULLS_SRST) != 0
673 && !req_srst)
674 trst_with_tlr = 1;
675 else
676 new_trst = 1;
679 /* Maybe change TRST and/or SRST signal state */
680 if (jtag_srst != new_srst || jtag_trst != new_trst) {
681 int retval;
683 retval = interface_jtag_add_reset(new_trst, new_srst);
684 if (retval != ERROR_OK)
685 jtag_set_error(retval);
686 else
687 retval = jtag_execute_queue();
689 if (retval != ERROR_OK) {
690 LOG_ERROR("TRST/SRST error %d", retval);
691 return;
695 /* SRST resets everything hooked up to that signal */
696 if (jtag_srst != new_srst) {
697 jtag_srst = new_srst;
698 if (jtag_srst)
700 LOG_DEBUG("SRST line asserted");
701 if (adapter_nsrst_assert_width)
702 jtag_add_sleep(adapter_nsrst_assert_width * 1000);
704 else {
705 LOG_DEBUG("SRST line released");
706 if (adapter_nsrst_delay)
707 jtag_add_sleep(adapter_nsrst_delay * 1000);
711 /* Maybe enter the JTAG TAP_RESET state ...
712 * - using only TMS, TCK, and the JTAG state machine
713 * - or else more directly, using TRST
715 * TAP_RESET should be invisible to non-debug parts of the system.
717 if (trst_with_tlr) {
718 LOG_DEBUG("JTAG reset with TLR instead of TRST");
719 jtag_add_tlr();
721 } else if (jtag_trst != new_trst) {
722 jtag_trst = new_trst;
723 if (jtag_trst) {
724 LOG_DEBUG("TRST line asserted");
725 tap_set_state(TAP_RESET);
726 if (jtag_ntrst_assert_width)
727 jtag_add_sleep(jtag_ntrst_assert_width * 1000);
728 } else {
729 LOG_DEBUG("TRST line released");
730 if (jtag_ntrst_delay)
731 jtag_add_sleep(jtag_ntrst_delay * 1000);
733 /* We just asserted nTRST, so we're now in TAP_RESET.
734 * Inform possible listeners about this, now that
735 * JTAG instructions and data can be shifted. This
736 * sequence must match jtag_add_tlr().
738 jtag_call_event_callbacks(JTAG_TRST_ASSERTED);
739 jtag_notify_event(JTAG_TRST_ASSERTED);
744 void jtag_add_sleep(uint32_t us)
746 /// @todo Here, keep_alive() appears to be a layering violation!!!
747 keep_alive();
748 jtag_set_error(interface_jtag_add_sleep(us));
751 static int jtag_check_value_inner(uint8_t *captured, uint8_t *in_check_value,
752 uint8_t *in_check_mask, int num_bits)
754 int retval = ERROR_OK;
755 int compare_failed;
757 if (in_check_mask)
758 compare_failed = buf_cmp_mask(captured, in_check_value, in_check_mask, num_bits);
759 else
760 compare_failed = buf_cmp(captured, in_check_value, num_bits);
762 if (compare_failed) {
763 char *captured_str, *in_check_value_str;
764 int bits = (num_bits > DEBUG_JTAG_IOZ)
765 ? DEBUG_JTAG_IOZ
766 : num_bits;
768 /* NOTE: we've lost diagnostic context here -- 'which tap' */
770 captured_str = buf_to_str(captured, bits, 16);
771 in_check_value_str = buf_to_str(in_check_value, bits, 16);
773 LOG_WARNING("Bad value '%s' captured during DR or IR scan:",
774 captured_str);
775 LOG_WARNING(" check_value: 0x%s", in_check_value_str);
777 free(captured_str);
778 free(in_check_value_str);
780 if (in_check_mask) {
781 char *in_check_mask_str;
783 in_check_mask_str = buf_to_str(in_check_mask, bits, 16);
784 LOG_WARNING(" check_mask: 0x%s", in_check_mask_str);
785 free(in_check_mask_str);
788 retval = ERROR_JTAG_QUEUE_FAILED;
790 return retval;
793 void jtag_check_value_mask(struct scan_field *field, uint8_t *value, uint8_t *mask)
795 assert(field->in_value != NULL);
797 if (value == NULL)
799 /* no checking to do */
800 return;
803 jtag_execute_queue_noclear();
805 int retval = jtag_check_value_inner(field->in_value, value, mask, field->num_bits);
806 jtag_set_error(retval);
811 int default_interface_jtag_execute_queue(void)
813 if (NULL == jtag)
815 LOG_ERROR("No JTAG interface configured yet. "
816 "Issue 'init' command in startup scripts "
817 "before communicating with targets.");
818 return ERROR_FAIL;
821 return jtag->execute_queue();
824 void jtag_execute_queue_noclear(void)
826 jtag_flush_queue_count++;
827 jtag_set_error(interface_jtag_execute_queue());
830 int jtag_get_flush_queue_count(void)
832 return jtag_flush_queue_count;
835 int jtag_execute_queue(void)
837 jtag_execute_queue_noclear();
838 return jtag_error_clear();
841 static int jtag_reset_callback(enum jtag_event event, void *priv)
843 struct jtag_tap *tap = priv;
845 if (event == JTAG_TRST_ASSERTED)
847 tap->enabled = !tap->disabled_after_reset;
849 /* current instruction is either BYPASS or IDCODE */
850 buf_set_ones(tap->cur_instr, tap->ir_length);
851 tap->bypass = 1;
854 return ERROR_OK;
857 void jtag_sleep(uint32_t us)
859 alive_sleep(us/1000);
862 /* Maximum number of enabled JTAG devices we expect in the scan chain,
863 * plus one (to detect garbage at the end). Devices that don't support
864 * IDCODE take up fewer bits, possibly allowing a few more devices.
866 #define JTAG_MAX_CHAIN_SIZE 20
868 #define EXTRACT_MFG(X) (((X) & 0xffe) >> 1)
869 #define EXTRACT_PART(X) (((X) & 0xffff000) >> 12)
870 #define EXTRACT_VER(X) (((X) & 0xf0000000) >> 28)
872 /* A reserved manufacturer ID is used in END_OF_CHAIN_FLAG, so we
873 * know that no valid TAP will have it as an IDCODE value.
875 #define END_OF_CHAIN_FLAG 0x000000ff
877 /* a larger IR length than we ever expect to autoprobe */
878 #define JTAG_IRLEN_MAX 60
880 static int jtag_examine_chain_execute(uint8_t *idcode_buffer, unsigned num_idcode)
882 struct scan_field field = {
883 .num_bits = num_idcode * 32,
884 .out_value = idcode_buffer,
885 .in_value = idcode_buffer,
888 // initialize to the end of chain ID value
889 for (unsigned i = 0; i < JTAG_MAX_CHAIN_SIZE; i++)
890 buf_set_u32(idcode_buffer, i * 32, 32, END_OF_CHAIN_FLAG);
892 jtag_add_plain_dr_scan(field.num_bits, field.out_value, field.in_value, TAP_DRPAUSE);
893 jtag_add_tlr();
894 return jtag_execute_queue();
897 static bool jtag_examine_chain_check(uint8_t *idcodes, unsigned count)
899 uint8_t zero_check = 0x0;
900 uint8_t one_check = 0xff;
902 for (unsigned i = 0; i < count * 4; i++)
904 zero_check |= idcodes[i];
905 one_check &= idcodes[i];
908 /* if there wasn't a single non-zero bit or if all bits were one,
909 * the scan is not valid. We wrote a mix of both values; either
911 * - There's a hardware issue (almost certainly):
912 * + all-zeroes can mean a target stuck in JTAG reset
913 * + all-ones tends to mean no target
914 * - The scan chain is WAY longer than we can handle, *AND* either
915 * + there are several hundreds of TAPs in bypass, or
916 * + at least a few dozen TAPs all have an all-ones IDCODE
918 if (zero_check == 0x00 || one_check == 0xff)
920 LOG_ERROR("JTAG scan chain interrogation failed: all %s",
921 (zero_check == 0x00) ? "zeroes" : "ones");
922 LOG_ERROR("Check JTAG interface, timings, target power, etc.");
923 return false;
925 return true;
928 static void jtag_examine_chain_display(enum log_levels level, const char *msg,
929 const char *name, uint32_t idcode)
931 log_printf_lf(level, __FILE__, __LINE__, __FUNCTION__,
932 "JTAG tap: %s %16.16s: 0x%08x "
933 "(mfg: 0x%3.3x, part: 0x%4.4x, ver: 0x%1.1x)",
934 name, msg,
935 (unsigned int)idcode,
936 (unsigned int)EXTRACT_MFG(idcode),
937 (unsigned int)EXTRACT_PART(idcode),
938 (unsigned int)EXTRACT_VER(idcode));
941 static bool jtag_idcode_is_final(uint32_t idcode)
944 * Some devices, such as AVR8, will output all 1's instead
945 * of TDI input value at end of chain. Allow those values
946 * instead of failing.
948 return idcode == END_OF_CHAIN_FLAG || idcode == 0xFFFFFFFF;
952 * This helper checks that remaining bits in the examined chain data are
953 * all as expected, but a single JTAG device requires only 64 bits to be
954 * read back correctly. This can help identify and diagnose problems
955 * with the JTAG chain earlier, gives more helpful/explicit error messages.
956 * Returns TRUE iff garbage was found.
958 static bool jtag_examine_chain_end(uint8_t *idcodes, unsigned count, unsigned max)
960 bool triggered = false;
961 for (; count < max - 31; count += 32)
963 uint32_t idcode = buf_get_u32(idcodes, count, 32);
965 /* do not trigger the warning if the data looks good */
966 if (jtag_idcode_is_final(idcode))
967 continue;
968 LOG_WARNING("Unexpected idcode after end of chain: %d 0x%08x",
969 count, (unsigned int)idcode);
970 triggered = true;
972 return triggered;
975 static bool jtag_examine_chain_match_tap(const struct jtag_tap *tap)
977 uint32_t idcode = tap->idcode;
979 /* ignore expected BYPASS codes; warn otherwise */
980 if (0 == tap->expected_ids_cnt && !idcode)
981 return true;
983 /* optionally ignore the JTAG version field */
984 uint32_t mask = tap->ignore_version ? ~(0xff << 24) : ~0;
986 idcode &= mask;
988 /* Loop over the expected identification codes and test for a match */
989 unsigned ii, limit = tap->expected_ids_cnt;
991 for (ii = 0; ii < limit; ii++)
993 uint32_t expected = tap->expected_ids[ii] & mask;
995 if (idcode == expected)
996 return true;
998 /* treat "-expected-id 0" as a "don't-warn" wildcard */
999 if (0 == tap->expected_ids[ii])
1000 return true;
1003 /* If none of the expected ids matched, warn */
1004 jtag_examine_chain_display(LOG_LVL_WARNING, "UNEXPECTED",
1005 tap->dotted_name, tap->idcode);
1006 for (ii = 0; ii < limit; ii++)
1008 char msg[32];
1010 snprintf(msg, sizeof(msg), "expected %u of %u", ii + 1, limit);
1011 jtag_examine_chain_display(LOG_LVL_ERROR, msg,
1012 tap->dotted_name, tap->expected_ids[ii]);
1014 return false;
1017 /* Try to examine chain layout according to IEEE 1149.1 §12
1018 * This is called a "blind interrogation" of the scan chain.
1020 static int jtag_examine_chain(void)
1022 uint8_t idcode_buffer[JTAG_MAX_CHAIN_SIZE * 4];
1023 unsigned bit_count;
1024 int retval;
1025 int tapcount = 0;
1026 bool autoprobe = false;
1028 /* DR scan to collect BYPASS or IDCODE register contents.
1029 * Then make sure the scan data has both ones and zeroes.
1031 LOG_DEBUG("DR scan interrogation for IDCODE/BYPASS");
1032 retval = jtag_examine_chain_execute(idcode_buffer, JTAG_MAX_CHAIN_SIZE);
1033 if (retval != ERROR_OK)
1034 return retval;
1035 if (!jtag_examine_chain_check(idcode_buffer, JTAG_MAX_CHAIN_SIZE))
1036 return ERROR_JTAG_INIT_FAILED;
1038 /* point at the 1st tap */
1039 struct jtag_tap *tap = jtag_tap_next_enabled(NULL);
1041 if (!tap)
1042 autoprobe = true;
1044 for (bit_count = 0;
1045 tap && bit_count < (JTAG_MAX_CHAIN_SIZE * 32) - 31;
1046 tap = jtag_tap_next_enabled(tap))
1048 uint32_t idcode = buf_get_u32(idcode_buffer, bit_count, 32);
1050 if ((idcode & 1) == 0)
1052 /* Zero for LSB indicates a device in bypass */
1053 LOG_INFO("TAP %s does not have IDCODE",
1054 tap->dotted_name);
1055 idcode = 0;
1056 tap->hasidcode = false;
1058 bit_count += 1;
1060 else
1062 /* Friendly devices support IDCODE */
1063 tap->hasidcode = true;
1064 jtag_examine_chain_display(LOG_LVL_INFO,
1065 "tap/device found",
1066 tap->dotted_name, idcode);
1068 bit_count += 32;
1070 tap->idcode = idcode;
1072 /* ensure the TAP ID matches what was expected */
1073 if (!jtag_examine_chain_match_tap(tap))
1074 retval = ERROR_JTAG_INIT_SOFT_FAIL;
1077 /* Fail if too many TAPs were enabled for us to verify them all. */
1078 if (tap) {
1079 LOG_ERROR("Too many TAPs enabled; '%s' ignored.",
1080 tap->dotted_name);
1081 return ERROR_JTAG_INIT_FAILED;
1084 /* if autoprobing, the tap list is still empty ... populate it! */
1085 while (autoprobe && bit_count < (JTAG_MAX_CHAIN_SIZE * 32) - 31) {
1086 uint32_t idcode;
1087 char buf[12];
1089 /* Is there another TAP? */
1090 idcode = buf_get_u32(idcode_buffer, bit_count, 32);
1091 if (jtag_idcode_is_final(idcode))
1092 break;
1094 /* Default everything in this TAP except IR length.
1096 * REVISIT create a jtag_alloc(chip, tap) routine, and
1097 * share it with jim_newtap_cmd().
1099 tap = calloc(1, sizeof *tap);
1100 if (!tap)
1101 return ERROR_FAIL;
1103 sprintf(buf, "auto%d", tapcount++);
1104 tap->chip = strdup(buf);
1105 tap->tapname = strdup("tap");
1107 sprintf(buf, "%s.%s", tap->chip, tap->tapname);
1108 tap->dotted_name = strdup(buf);
1110 /* tap->ir_length == 0 ... signifying irlen autoprobe */
1111 tap->ir_capture_mask = 0x03;
1112 tap->ir_capture_value = 0x01;
1114 tap->enabled = true;
1116 if ((idcode & 1) == 0) {
1117 bit_count += 1;
1118 tap->hasidcode = false;
1119 } else {
1120 bit_count += 32;
1121 tap->hasidcode = true;
1122 tap->idcode = idcode;
1124 tap->expected_ids_cnt = 1;
1125 tap->expected_ids = malloc(sizeof(uint32_t));
1126 tap->expected_ids[0] = idcode;
1129 LOG_WARNING("AUTO %s - use \"jtag newtap "
1130 "%s %s -expected-id 0x%8.8" PRIx32 " ...\"",
1131 tap->dotted_name, tap->chip, tap->tapname,
1132 tap->idcode);
1134 jtag_tap_init(tap);
1137 /* After those IDCODE or BYPASS register values should be
1138 * only the data we fed into the scan chain.
1140 if (jtag_examine_chain_end(idcode_buffer, bit_count,
1141 8 * sizeof(idcode_buffer))) {
1142 LOG_ERROR("double-check your JTAG setup (interface, "
1143 "speed, missing TAPs, ...)");
1144 return ERROR_JTAG_INIT_FAILED;
1147 /* Return success or, for backwards compatibility if only
1148 * some IDCODE values mismatched, a soft/continuable fault.
1150 return retval;
1154 * Validate the date loaded by entry to the Capture-IR state, to help
1155 * find errors related to scan chain configuration (wrong IR lengths)
1156 * or communication.
1158 * Entry state can be anything. On non-error exit, all TAPs are in
1159 * bypass mode. On error exits, the scan chain is reset.
1161 static int jtag_validate_ircapture(void)
1163 struct jtag_tap *tap;
1164 int total_ir_length = 0;
1165 uint8_t *ir_test = NULL;
1166 struct scan_field field;
1167 int val;
1168 int chain_pos = 0;
1169 int retval;
1171 /* when autoprobing, accomodate huge IR lengths */
1172 for (tap = NULL, total_ir_length = 0;
1173 (tap = jtag_tap_next_enabled(tap)) != NULL;
1174 total_ir_length += tap->ir_length) {
1175 if (tap->ir_length == 0)
1176 total_ir_length += JTAG_IRLEN_MAX;
1179 /* increase length to add 2 bit sentinel after scan */
1180 total_ir_length += 2;
1182 ir_test = malloc(DIV_ROUND_UP(total_ir_length, 8));
1183 if (ir_test == NULL)
1184 return ERROR_FAIL;
1186 /* after this scan, all TAPs will capture BYPASS instructions */
1187 buf_set_ones(ir_test, total_ir_length);
1189 field.num_bits = total_ir_length;
1190 field.out_value = ir_test;
1191 field.in_value = ir_test;
1193 jtag_add_plain_ir_scan(field.num_bits, field.out_value, field.in_value, TAP_IDLE);
1195 LOG_DEBUG("IR capture validation scan");
1196 retval = jtag_execute_queue();
1197 if (retval != ERROR_OK)
1198 goto done;
1200 tap = NULL;
1201 chain_pos = 0;
1203 for (;;) {
1204 tap = jtag_tap_next_enabled(tap);
1205 if (tap == NULL) {
1206 break;
1209 /* If we're autoprobing, guess IR lengths. They must be at
1210 * least two bits. Guessing will fail if (a) any TAP does
1211 * not conform to the JTAG spec; or (b) when the upper bits
1212 * captured from some conforming TAP are nonzero. Or if
1213 * (c) an IR length is longer than 32 bits -- which is only
1214 * an implementation limit, which could someday be raised.
1216 * REVISIT optimization: if there's a *single* TAP we can
1217 * lift restrictions (a) and (b) by scanning a recognizable
1218 * pattern before the all-ones BYPASS. Check for where the
1219 * pattern starts in the result, instead of an 0...01 value.
1221 * REVISIT alternative approach: escape to some tcl code
1222 * which could provide more knowledge, based on IDCODE; and
1223 * only guess when that has no success.
1225 if (tap->ir_length == 0) {
1226 tap->ir_length = 2;
1227 while ((val = buf_get_u32(ir_test, chain_pos,
1228 tap->ir_length + 1)) == 1
1229 && tap->ir_length <= 32) {
1230 tap->ir_length++;
1232 LOG_WARNING("AUTO %s - use \"... -irlen %d\"",
1233 jtag_tap_name(tap), tap->ir_length);
1236 /* Validate the two LSBs, which must be 01 per JTAG spec.
1238 * Or ... more bits could be provided by TAP declaration.
1239 * Plus, some taps (notably in i.MX series chips) violate
1240 * this part of the JTAG spec, so their capture mask/value
1241 * attributes might disable this test.
1243 val = buf_get_u32(ir_test, chain_pos, tap->ir_length);
1244 if ((val & tap->ir_capture_mask) != tap->ir_capture_value) {
1245 LOG_ERROR("%s: IR capture error; saw 0x%0*x not 0x%0*x",
1246 jtag_tap_name(tap),
1247 (tap->ir_length + 7) / tap->ir_length,
1248 val,
1249 (tap->ir_length + 7) / tap->ir_length,
1250 (unsigned) tap->ir_capture_value);
1252 retval = ERROR_JTAG_INIT_FAILED;
1253 goto done;
1255 LOG_DEBUG("%s: IR capture 0x%0*x", jtag_tap_name(tap),
1256 (tap->ir_length + 7) / tap->ir_length, val);
1257 chain_pos += tap->ir_length;
1260 /* verify the '11' sentinel we wrote is returned at the end */
1261 val = buf_get_u32(ir_test, chain_pos, 2);
1262 if (val != 0x3)
1264 char *cbuf = buf_to_str(ir_test, total_ir_length, 16);
1266 LOG_ERROR("IR capture error at bit %d, saw 0x%s not 0x...3",
1267 chain_pos, cbuf);
1268 free(cbuf);
1269 retval = ERROR_JTAG_INIT_FAILED;
1272 done:
1273 free(ir_test);
1274 if (retval != ERROR_OK) {
1275 jtag_add_tlr();
1276 jtag_execute_queue();
1278 return retval;
1282 void jtag_tap_init(struct jtag_tap *tap)
1284 unsigned ir_len_bits;
1285 unsigned ir_len_bytes;
1287 /* if we're autoprobing, cope with potentially huge ir_length */
1288 ir_len_bits = tap->ir_length ? : JTAG_IRLEN_MAX;
1289 ir_len_bytes = DIV_ROUND_UP(ir_len_bits, 8);
1291 tap->expected = calloc(1, ir_len_bytes);
1292 tap->expected_mask = calloc(1, ir_len_bytes);
1293 tap->cur_instr = malloc(ir_len_bytes);
1295 /// @todo cope better with ir_length bigger than 32 bits
1296 if (ir_len_bits > 32)
1297 ir_len_bits = 32;
1299 buf_set_u32(tap->expected, 0, ir_len_bits, tap->ir_capture_value);
1300 buf_set_u32(tap->expected_mask, 0, ir_len_bits, tap->ir_capture_mask);
1302 // TAP will be in bypass mode after jtag_validate_ircapture()
1303 tap->bypass = 1;
1304 buf_set_ones(tap->cur_instr, tap->ir_length);
1306 // register the reset callback for the TAP
1307 jtag_register_event_callback(&jtag_reset_callback, tap);
1309 LOG_DEBUG("Created Tap: %s @ abs position %d, "
1310 "irlen %d, capture: 0x%x mask: 0x%x", tap->dotted_name,
1311 tap->abs_chain_position, tap->ir_length,
1312 (unsigned) tap->ir_capture_value,
1313 (unsigned) tap->ir_capture_mask);
1314 jtag_tap_add(tap);
1317 void jtag_tap_free(struct jtag_tap *tap)
1319 jtag_unregister_event_callback(&jtag_reset_callback, tap);
1321 /// @todo is anything missing? no memory leaks please
1322 free((void *)tap->expected);
1323 free((void *)tap->expected_ids);
1324 free((void *)tap->chip);
1325 free((void *)tap->tapname);
1326 free((void *)tap->dotted_name);
1327 free(tap);
1331 * Do low-level setup like initializing registers, output signals,
1332 * and clocking.
1334 int adapter_init(struct command_context *cmd_ctx)
1336 if (jtag)
1337 return ERROR_OK;
1339 if (!jtag_interface)
1341 /* nothing was previously specified by "interface" command */
1342 LOG_ERROR("Debug Adapter has to be specified, "
1343 "see \"interface\" command");
1344 return ERROR_JTAG_INVALID_INTERFACE;
1347 jtag = jtag_interface;
1348 if (jtag_interface->init() != ERROR_OK)
1350 jtag = NULL;
1351 return ERROR_JTAG_INIT_FAILED;
1354 int requested_khz = jtag_get_speed_khz();
1355 int actual_khz = requested_khz;
1356 int retval = jtag_get_speed_readable(&actual_khz);
1357 if (ERROR_OK != retval)
1358 LOG_INFO("adapter-specific clock speed value %d", jtag_get_speed());
1359 else if (actual_khz)
1361 /* Adaptive clocking -- JTAG-specific */
1362 if ((CLOCK_MODE_RCLK == clock_mode)
1363 || ((CLOCK_MODE_KHZ == clock_mode) && !requested_khz))
1365 LOG_INFO("RCLK (adaptive clock speed) not supported - fallback to %d kHz"
1366 , actual_khz);
1368 else
1369 LOG_INFO("clock speed %d kHz", actual_khz);
1371 else
1372 LOG_INFO("RCLK (adaptive clock speed)");
1374 return ERROR_OK;
1377 int jtag_init_inner(struct command_context *cmd_ctx)
1379 struct jtag_tap *tap;
1380 int retval;
1381 bool issue_setup = true;
1383 LOG_DEBUG("Init JTAG chain");
1385 tap = jtag_tap_next_enabled(NULL);
1386 if (tap == NULL) {
1387 /* Once JTAG itself is properly set up, and the scan chain
1388 * isn't absurdly large, IDCODE autoprobe should work fine.
1390 * But ... IRLEN autoprobe can fail even on systems which
1391 * are fully conformant to JTAG. Also, JTAG setup can be
1392 * quite finicky on some systems.
1394 * REVISIT: if TAP autoprobe works OK, then in many cases
1395 * we could escape to tcl code and set up targets based on
1396 * the TAP's IDCODE values.
1398 LOG_WARNING("There are no enabled taps. "
1399 "AUTO PROBING MIGHT NOT WORK!!");
1401 /* REVISIT default clock will often be too fast ... */
1404 jtag_add_tlr();
1405 if ((retval = jtag_execute_queue()) != ERROR_OK)
1406 return retval;
1408 /* Examine DR values first. This discovers problems which will
1409 * prevent communication ... hardware issues like TDO stuck, or
1410 * configuring the wrong number of (enabled) TAPs.
1412 retval = jtag_examine_chain();
1413 switch (retval) {
1414 case ERROR_OK:
1415 /* complete success */
1416 break;
1417 case ERROR_JTAG_INIT_SOFT_FAIL:
1418 /* For backward compatibility reasons, try coping with
1419 * configuration errors involving only ID mismatches.
1420 * We might be able to talk to the devices.
1422 LOG_ERROR("Trying to use configured scan chain anyway...");
1423 issue_setup = false;
1424 break;
1425 default:
1426 /* some hard error; already issued diagnostics */
1427 return retval;
1430 /* Now look at IR values. Problems here will prevent real
1431 * communication. They mostly mean that the IR length is
1432 * wrong ... or that the IR capture value is wrong. (The
1433 * latter is uncommon, but easily worked around: provide
1434 * ircapture/irmask values during TAP setup.)
1436 retval = jtag_validate_ircapture();
1437 if (retval != ERROR_OK)
1438 return retval;
1440 if (issue_setup)
1441 jtag_notify_event(JTAG_TAP_EVENT_SETUP);
1442 else
1443 LOG_WARNING("Bypassing JTAG setup events due to errors");
1446 return ERROR_OK;
1449 int adapter_quit(void)
1451 if (!jtag || !jtag->quit)
1452 return ERROR_OK;
1454 // close the JTAG interface
1455 int result = jtag->quit();
1456 if (ERROR_OK != result)
1457 LOG_ERROR("failed: %d", result);
1459 return ERROR_OK;
1463 int jtag_init_reset(struct command_context *cmd_ctx)
1465 int retval;
1467 if ((retval = adapter_init(cmd_ctx)) != ERROR_OK)
1468 return retval;
1470 LOG_DEBUG("Initializing with hard TRST+SRST reset");
1473 * This procedure is used by default when OpenOCD triggers a reset.
1474 * It's now done through an overridable Tcl "init_reset" wrapper.
1476 * This started out as a more powerful "get JTAG working" reset than
1477 * jtag_init_inner(), applying TRST because some chips won't activate
1478 * JTAG without a TRST cycle (presumed to be async, though some of
1479 * those chips synchronize JTAG activation using TCK).
1481 * But some chips only activate JTAG as part of an SRST cycle; SRST
1482 * got mixed in. So it became a hard reset routine, which got used
1483 * in more places, and which coped with JTAG reset being forced as
1484 * part of SRST (srst_pulls_trst).
1486 * And even more corner cases started to surface: TRST and/or SRST
1487 * assertion timings matter; some chips need other JTAG operations;
1488 * TRST/SRST sequences can need to be different from these, etc.
1490 * Systems should override that wrapper to support system-specific
1491 * requirements that this not-fully-generic code doesn't handle.
1493 * REVISIT once Tcl code can read the reset_config modes, this won't
1494 * need to be a C routine at all...
1496 jtag_add_reset(1, 0); /* TAP_RESET, using TMS+TCK or TRST */
1497 if (jtag_reset_config & RESET_HAS_SRST)
1499 jtag_add_reset(1, 1);
1500 if ((jtag_reset_config & RESET_SRST_PULLS_TRST) == 0)
1501 jtag_add_reset(0, 1);
1503 jtag_add_reset(0, 0);
1504 if ((retval = jtag_execute_queue()) != ERROR_OK)
1505 return retval;
1507 /* Check that we can communication on the JTAG chain + eventually we want to
1508 * be able to perform enumeration only after OpenOCD has started
1509 * telnet and GDB server
1511 * That would allow users to more easily perform any magic they need to before
1512 * reset happens.
1514 return jtag_init_inner(cmd_ctx);
1517 int jtag_init(struct command_context *cmd_ctx)
1519 int retval;
1521 if ((retval = adapter_init(cmd_ctx)) != ERROR_OK)
1522 return retval;
1524 /* guard against oddball hardware: force resets to be inactive */
1525 jtag_add_reset(0, 0);
1526 if ((retval = jtag_execute_queue()) != ERROR_OK)
1527 return retval;
1529 if (Jim_Eval_Named(cmd_ctx->interp, "jtag_init", __FILE__, __LINE__) != JIM_OK)
1530 return ERROR_FAIL;
1532 return ERROR_OK;
1535 unsigned jtag_get_speed_khz(void)
1537 return speed_khz;
1540 static int adapter_khz_to_speed(unsigned khz, int* speed)
1542 LOG_DEBUG("convert khz to interface specific speed value");
1543 speed_khz = khz;
1544 if (jtag != NULL)
1546 LOG_DEBUG("have interface set up");
1547 int speed_div1;
1548 int retval = jtag->khz(jtag_get_speed_khz(), &speed_div1);
1549 if (ERROR_OK != retval)
1551 return retval;
1553 *speed = speed_div1;
1555 return ERROR_OK;
1558 static int jtag_rclk_to_speed(unsigned fallback_speed_khz, int* speed)
1560 int retval = adapter_khz_to_speed(0, speed);
1561 if ((ERROR_OK != retval) && fallback_speed_khz)
1563 LOG_DEBUG("trying fallback speed...");
1564 retval = adapter_khz_to_speed(fallback_speed_khz, speed);
1566 return retval;
1569 static int jtag_set_speed(int speed)
1571 jtag_speed = speed;
1572 /* this command can be called during CONFIG,
1573 * in which case jtag isn't initialized */
1574 return jtag ? jtag->speed(speed) : ERROR_OK;
1577 int jtag_config_khz(unsigned khz)
1579 LOG_DEBUG("handle jtag khz");
1580 clock_mode = CLOCK_MODE_KHZ;
1581 int speed = 0;
1582 int retval = adapter_khz_to_speed(khz, &speed);
1583 return (ERROR_OK != retval) ? retval : jtag_set_speed(speed);
1586 int jtag_config_rclk(unsigned fallback_speed_khz)
1588 LOG_DEBUG("handle jtag rclk");
1589 clock_mode = CLOCK_MODE_RCLK;
1590 rclk_fallback_speed_khz = fallback_speed_khz;
1591 int speed = 0;
1592 int retval = jtag_rclk_to_speed(fallback_speed_khz, &speed);
1593 return (ERROR_OK != retval) ? retval : jtag_set_speed(speed);
1596 int jtag_get_speed(void)
1598 int speed;
1599 switch(clock_mode)
1601 case CLOCK_MODE_SPEED:
1602 speed = jtag_speed;
1603 break;
1604 case CLOCK_MODE_KHZ:
1605 adapter_khz_to_speed(jtag_get_speed_khz(), &speed);
1606 break;
1607 case CLOCK_MODE_RCLK:
1608 jtag_rclk_to_speed(rclk_fallback_speed_khz, &speed);
1609 break;
1610 default:
1611 LOG_ERROR("BUG: unknown jtag clock mode");
1612 speed = 0;
1613 break;
1615 return speed;
1618 int jtag_get_speed_readable(int *khz)
1620 return jtag ? jtag->speed_div(jtag_get_speed(), khz) : ERROR_OK;
1623 void jtag_set_verify(bool enable)
1625 jtag_verify = enable;
1628 bool jtag_will_verify()
1630 return jtag_verify;
1633 void jtag_set_verify_capture_ir(bool enable)
1635 jtag_verify_capture_ir = enable;
1638 bool jtag_will_verify_capture_ir()
1640 return jtag_verify_capture_ir;
1643 int jtag_power_dropout(int *dropout)
1645 if (jtag == NULL)
1647 /* TODO: as the jtag interface is not valid all
1648 * we can do at the moment is exit OpenOCD */
1649 LOG_ERROR("No Valid JTAG Interface Configured.");
1650 exit(-1);
1652 return jtag->power_dropout(dropout);
1655 int jtag_srst_asserted(int *srst_asserted)
1657 return jtag->srst_asserted(srst_asserted);
1660 enum reset_types jtag_get_reset_config(void)
1662 return jtag_reset_config;
1664 void jtag_set_reset_config(enum reset_types type)
1666 jtag_reset_config = type;
1669 int jtag_get_trst(void)
1671 return jtag_trst;
1673 int jtag_get_srst(void)
1675 return jtag_srst;
1678 void jtag_set_nsrst_delay(unsigned delay)
1680 adapter_nsrst_delay = delay;
1682 unsigned jtag_get_nsrst_delay(void)
1684 return adapter_nsrst_delay;
1686 void jtag_set_ntrst_delay(unsigned delay)
1688 jtag_ntrst_delay = delay;
1690 unsigned jtag_get_ntrst_delay(void)
1692 return jtag_ntrst_delay;
1696 void jtag_set_nsrst_assert_width(unsigned delay)
1698 adapter_nsrst_assert_width = delay;
1700 unsigned jtag_get_nsrst_assert_width(void)
1702 return adapter_nsrst_assert_width;
1704 void jtag_set_ntrst_assert_width(unsigned delay)
1706 jtag_ntrst_assert_width = delay;
1708 unsigned jtag_get_ntrst_assert_width(void)
1710 return jtag_ntrst_assert_width;