1 @c Copyright (C) 1988-2024 Free Software Foundation, Inc.
2 @c This is part of the GCC manual.
3 @c For copying conditions, see the file gcc.texi.
10 @c man begin COPYRIGHT
11 Copyright @copyright{} 1988-2024 Free Software Foundation, Inc.
13 Permission is granted to copy, distribute and/or modify this document
14 under the terms of the GNU Free Documentation License, Version 1.3 or
15 any later version published by the Free Software Foundation; with the
16 Invariant Sections being ``GNU General Public License'' and ``Funding
17 Free Software'', the Front-Cover texts being (a) (see below), and with
18 the Back-Cover Texts being (b) (see below). A copy of the license is
19 included in the gfdl(7) man page.
21 (a) The FSF's Front-Cover Text is:
25 (b) The FSF's Back-Cover Text is:
27 You have freedom to copy and modify this GNU Manual, like GNU
28 software. Copies published by the Free Software Foundation raise
29 funds for GNU development.
31 @c Set file name and title for the man page.
33 @settitle GNU project C and C++ compiler
35 gcc [@option{-c}|@option{-S}|@option{-E}] [@option{-std=}@var{standard}]
36 [@option{-g}] [@option{-pg}] [@option{-O}@var{level}]
37 [@option{-W}@var{warn}@dots{}] [@option{-Wpedantic}]
38 [@option{-I}@var{dir}@dots{}] [@option{-L}@var{dir}@dots{}]
39 [@option{-D}@var{macro}[=@var{defn}]@dots{}] [@option{-U}@var{macro}]
40 [@option{-f}@var{option}@dots{}] [@option{-m}@var{machine-option}@dots{}]
41 [@option{-o} @var{outfile}] [@@@var{file}] @var{infile}@dots{}
43 Only the most useful options are listed here; see below for the
44 remainder. @command{g++} accepts mostly the same options as @command{gcc}.
47 gpl(7), gfdl(7), fsf-funding(7),
48 cpp(1), gcov(1), as(1), ld(1), gdb(1)
49 and the Info entries for @file{gcc}, @file{cpp}, @file{as},
50 @file{ld}, @file{binutils} and @file{gdb}.
53 For instructions on reporting bugs, see
57 See the Info entry for @command{gcc}, or
58 @w{@uref{https://gcc.gnu.org/onlinedocs/gcc/Contributors.html}},
59 for contributors to GCC@.
64 @chapter GCC Command Options
65 @cindex GCC command options
66 @cindex command options
67 @cindex options, GCC command
69 @c man begin DESCRIPTION
70 When you invoke GCC, it normally does preprocessing, compilation,
71 assembly and linking. The ``overall options'' allow you to stop this
72 process at an intermediate stage. For example, the @option{-c} option
73 says not to run the linker. Then the output consists of object files
74 output by the assembler.
75 @xref{Overall Options,,Options Controlling the Kind of Output}.
77 Other options are passed on to one or more stages of processing. Some options
78 control the preprocessor and others the compiler itself. Yet other
79 options control the assembler and linker; most of these are not
80 documented here, since you rarely need to use any of them.
82 @cindex C compilation options
83 Most of the command-line options that you can use with GCC are useful
84 for C programs; when an option is only useful with another language
85 (usually C++), the explanation says so explicitly. If the description
86 for a particular option does not mention a source language, you can use
87 that option with all supported languages.
89 @cindex cross compiling
90 @cindex specifying machine version
91 @cindex specifying compiler version and target machine
92 @cindex compiler version, specifying
93 @cindex target machine, specifying
94 The usual way to run GCC is to run the executable called @command{gcc}, or
95 @command{@var{machine}-gcc} when cross-compiling, or
96 @command{@var{machine}-gcc-@var{version}} to run a specific version of GCC.
97 When you compile C++ programs, you should invoke GCC as @command{g++}
98 instead. @xref{Invoking G++,,Compiling C++ Programs},
99 for information about the differences in behavior between @command{gcc}
100 and @command{g++} when compiling C++ programs.
102 @cindex grouping options
103 @cindex options, grouping
104 The @command{gcc} program accepts options and file names as operands. Many
105 options have multi-letter names; therefore multiple single-letter options
106 may @emph{not} be grouped: @option{-dv} is very different from @w{@samp{-d
109 @cindex order of options
110 @cindex options, order
111 You can mix options and other arguments. For the most part, the order
112 you use doesn't matter. Order does matter when you use several
113 options of the same kind; for example, if you specify @option{-L} more
114 than once, the directories are searched in the order specified. Also,
115 the placement of the @option{-l} option is significant.
117 Many options have long names starting with @samp{-f} or with
118 @samp{-W}---for example,
119 @option{-fmove-loop-invariants}, @option{-Wformat} and so on. Most of
120 these have both positive and negative forms; the negative form of
121 @option{-ffoo} is @option{-fno-foo}. This manual documents
122 only one of these two forms, whichever one is not the default.
124 Some options take one or more arguments typically separated either
125 by a space or by the equals sign (@samp{=}) from the option name.
126 Unless documented otherwise, an argument can be either numeric or
127 a string. Numeric arguments must typically be small unsigned decimal
128 or hexadecimal integers. Hexadecimal arguments must begin with
129 the @samp{0x} prefix. Arguments to options that specify a size
130 threshold of some sort may be arbitrarily large decimal or hexadecimal
131 integers followed by a byte size suffix designating a multiple of bytes
132 such as @code{kB} and @code{KiB} for kilobyte and kibibyte, respectively,
133 @code{MB} and @code{MiB} for megabyte and mebibyte, @code{GB} and
134 @code{GiB} for gigabyte and gigibyte, and so on. Such arguments are
135 designated by @var{byte-size} in the following text. Refer to the NIST,
136 IEC, and other relevant national and international standards for the full
137 listing and explanation of the binary and decimal byte size prefixes.
141 @xref{Option Index}, for an index to GCC's options.
144 * Option Summary:: Brief list of all options, without explanations.
145 * Overall Options:: Controlling the kind of output:
146 an executable, object files, assembler files,
147 or preprocessed source.
148 * Invoking G++:: Compiling C++ programs.
149 * C Dialect Options:: Controlling the variant of C language compiled.
150 * C++ Dialect Options:: Variations on C++.
151 * Objective-C and Objective-C++ Dialect Options:: Variations on Objective-C
153 * Diagnostic Message Formatting Options:: Controlling how diagnostics should
155 * Warning Options:: How picky should the compiler be?
156 * Static Analyzer Options:: More expensive warnings.
157 * Debugging Options:: Producing debuggable code.
158 * Optimize Options:: How much optimization?
159 * Instrumentation Options:: Enabling profiling and extra run-time error checking.
160 * Preprocessor Options:: Controlling header files and macro definitions.
161 Also, getting dependency information for Make.
162 * Assembler Options:: Passing options to the assembler.
163 * Link Options:: Specifying libraries and so on.
164 * Directory Options:: Where to find header files and libraries.
165 Where to find the compiler executable files.
166 * Code Gen Options:: Specifying conventions for function calls, data layout
168 * Developer Options:: Printing GCC configuration info, statistics, and
170 * Submodel Options:: Target-specific options, such as compiling for a
171 specific processor variant.
172 * Spec Files:: How to pass switches to sub-processes.
173 * Environment Variables:: Env vars that affect GCC.
174 * Precompiled Headers:: Compiling a header once, and using it many times.
175 * C++ Modules:: Experimental C++20 module system.
181 @section Option Summary
183 Here is a summary of all the options, grouped by type. Explanations are
184 in the following sections.
187 @item Overall Options
188 @xref{Overall Options,,Options Controlling the Kind of Output}.
189 @gccoptlist{-c -S -E -o @var{file}
190 -dumpbase @var{dumpbase} -dumpbase-ext @var{auxdropsuf}
191 -dumpdir @var{dumppfx} -x @var{language}
192 -v -### --help@r{[}=@var{class}@r{[},@dots{}@r{]]} --target-help --version
193 -pass-exit-codes -pipe -specs=@var{file} -wrapper
194 @@@var{file} -ffile-prefix-map=@var{old}=@var{new} -fcanon-prefix-map
195 -fplugin=@var{file} -fplugin-arg-@var{name}=@var{arg}
196 -fdump-ada-spec@r{[}-slim@r{]} -fada-spec-parent=@var{unit} -fdump-go-spec=@var{file}}
198 @item C Language Options
199 @xref{C Dialect Options,,Options Controlling C Dialect}.
200 @gccoptlist{-ansi -std=@var{standard} -aux-info @var{filename}
202 -fno-builtin -fno-builtin-@var{function} -fcond-mismatch
203 -ffreestanding -fgimple -fgnu-tm -fgnu89-inline -fhosted
204 -flax-vector-conversions -fms-extensions
205 -foffload=@var{arg} -foffload-options=@var{arg}
206 -fopenacc -fopenacc-dim=@var{geom}
207 -fopenmp -fopenmp-simd -fopenmp-target-simd-clone@r{[}=@var{device-type}@r{]}
208 -fpermitted-flt-eval-methods=@var{standard}
209 -fplan9-extensions -fsigned-bitfields -funsigned-bitfields
210 -fsigned-char -funsigned-char -fstrict-flex-arrays[=@var{n}]
211 -fsso-struct=@var{endianness}}
213 @item C++ Language Options
214 @xref{C++ Dialect Options,,Options Controlling C++ Dialect}.
215 @gccoptlist{-fabi-version=@var{n} -fno-access-control
216 -faligned-new=@var{n} -fargs-in-order=@var{n} -fchar8_t -fcheck-new
217 -fconcepts -fconstexpr-depth=@var{n} -fconstexpr-cache-depth=@var{n}
218 -fconstexpr-loop-limit=@var{n} -fconstexpr-ops-limit=@var{n}
219 -fno-elide-constructors
220 -fno-enforce-eh-specs
222 -fno-immediate-escalation
223 -fno-implicit-templates
224 -fno-implicit-inline-templates
225 -fno-implement-inlines
226 -fmodule-header@r{[}=@var{kind}@r{]} -fmodule-only -fmodules-ts
227 -fmodule-implicit-inline
229 -fmodule-mapper=@var{specification}
230 -fmodule-version-ignore
232 -fnew-inheriting-ctors
234 -fno-nonansi-builtins -fnothrow-opt -fno-operator-names
236 -fno-pretty-templates -frange-for-ext-temps
237 -fno-rtti -fsized-deallocation
238 -ftemplate-backtrace-limit=@var{n}
239 -ftemplate-depth=@var{n}
240 -fno-threadsafe-statics -fuse-cxa-atexit
241 -fno-weak -nostdinc++
242 -fvisibility-inlines-hidden
243 -fvisibility-ms-compat
244 -fext-numeric-literals
245 -flang-info-include-translate@r{[}=@var{header}@r{]}
246 -flang-info-include-translate-not
247 -flang-info-module-cmi@r{[}=@var{module}@r{]}
248 -stdlib=@var{libstdc++,libc++}
249 -Wabi-tag -Wcatch-value -Wcatch-value=@var{n}
250 -Wno-class-conversion -Wclass-memaccess
251 -Wcomma-subscript -Wconditionally-supported
252 -Wno-conversion-null -Wctad-maybe-unsupported
253 -Wctor-dtor-privacy -Wdangling-reference
254 -Wno-defaulted-function-deleted
255 -Wno-delete-incomplete
256 -Wdelete-non-virtual-dtor -Wno-deprecated-array-compare
257 -Wdeprecated-copy -Wdeprecated-copy-dtor
258 -Wno-deprecated-enum-enum-conversion -Wno-deprecated-enum-float-conversion
259 -Weffc++ -Wno-elaborated-enum-base
260 -Wno-exceptions -Wextra-semi -Wno-global-module -Wno-inaccessible-base
261 -Wno-inherited-variadic-ctor -Wno-init-list-lifetime
262 -Winvalid-constexpr -Winvalid-imported-macros
263 -Wno-invalid-offsetof -Wno-literal-suffix
264 -Wmismatched-new-delete -Wmismatched-tags
265 -Wmultiple-inheritance -Wnamespaces -Wnarrowing
266 -Wnoexcept -Wnoexcept-type -Wnon-virtual-dtor
267 -Wpessimizing-move -Wno-placement-new -Wplacement-new=@var{n}
268 -Wrange-loop-construct -Wredundant-move -Wredundant-tags
270 -Wstrict-null-sentinel -Wno-subobject-linkage -Wtemplates
271 -Wno-non-template-friend -Wold-style-cast
272 -Woverloaded-virtual -Wno-pmf-conversions -Wself-move -Wsign-promo
273 -Wsized-deallocation -Wsuggest-final-methods
274 -Wsuggest-final-types -Wsuggest-override -Wno-template-body
275 -Wno-template-id-cdtor
276 -Wno-terminate -Wno-vexing-parse -Wvirtual-inheritance
277 -Wno-virtual-move-assign -Wvolatile -Wzero-as-null-pointer-constant}
279 @item Objective-C and Objective-C++ Language Options
280 @xref{Objective-C and Objective-C++ Dialect Options,,Options Controlling
281 Objective-C and Objective-C++ Dialects}.
282 @gccoptlist{-fconstant-string-class=@var{class-name}
283 -fgnu-runtime -fnext-runtime
285 -fobjc-abi-version=@var{n}
286 -fobjc-call-cxx-cdtors
287 -fobjc-direct-dispatch
293 -fivar-visibility=@r{[}public@r{|}protected@r{|}private@r{|}package@r{]}
294 -freplace-objc-classes
297 -Wassign-intercept -Wno-property-assign-default
298 -Wno-protocol -Wobjc-root-class -Wselector
299 -Wstrict-selector-match
300 -Wundeclared-selector}
302 @item Diagnostic Message Formatting Options
303 @xref{Diagnostic Message Formatting Options,,Options to Control Diagnostic Messages Formatting}.
304 @gccoptlist{-fmessage-length=@var{n}
305 -fdiagnostics-plain-output
306 -fdiagnostics-show-location=@r{[}once@r{|}every-line@r{]}
307 -fdiagnostics-color=@r{[}auto@r{|}never@r{|}always@r{]}
308 -fdiagnostics-urls=@r{[}auto@r{|}never@r{|}always@r{]}
309 -fdiagnostics-format=@r{[}text@r{|}sarif-stderr@r{|}sarif-file@r{|}json@r{|}json-stderr@r{|}json-file@r{]}
310 -fdiagnostics-add-output=@var{DIAGNOSTICS-OUTPUT-SPEC}
311 -fdiagnostics-set-output=@var{DIAGNOSTICS-OUTPUT-SPEC}
312 -fno-diagnostics-json-formatting
313 -fno-diagnostics-show-option -fno-diagnostics-show-caret
314 -fno-diagnostics-show-event-links
315 -fno-diagnostics-show-labels -fno-diagnostics-show-line-numbers
316 -fno-diagnostics-show-cwe
317 -fno-diagnostics-show-rules
318 -fno-diagnostics-show-highlight-colors
319 -fdiagnostics-minimum-margin-width=@var{width}
320 -fdiagnostics-parseable-fixits -fdiagnostics-generate-patch
321 -fdiagnostics-show-template-tree -fno-elide-type
322 -fdiagnostics-path-format=@r{[}none@r{|}separate-events@r{|}inline-events@r{]}
323 -fdiagnostics-show-path-depths
325 -fdiagnostics-column-unit=@r{[}display@r{|}byte@r{]}
326 -fdiagnostics-column-origin=@var{origin}
327 -fdiagnostics-escape-format=@r{[}unicode@r{|}bytes@r{]}
328 -fdiagnostics-text-art-charset=@r{[}none@r{|}ascii@r{|}unicode@r{|}emoji@r{]}}
330 @item Warning Options
331 @xref{Warning Options,,Options to Request or Suppress Warnings}.
332 @gccoptlist{-fsyntax-only -fmax-errors=@var{n} -Wpedantic
333 -pedantic-errors -fpermissive
334 -w -Wextra -Wall -Wabi=@var{n}
335 -Waddress -Wno-address-of-packed-member -Waggregate-return
336 -Walloc-size -Walloc-size-larger-than=@var{byte-size} -Walloc-zero
337 -Walloca -Walloca-larger-than=@var{byte-size}
338 -Wno-aggressive-loop-optimizations
340 -Warray-bounds -Warray-bounds=@var{n} -Warray-compare
341 -Warray-parameter -Warray-parameter=@var{n}
342 -Wno-attributes -Wattribute-alias=@var{n} -Wno-attribute-alias
343 -Wno-attribute-warning
344 -Wbidi-chars=@r{[}none@r{|}unpaired@r{|}any@r{|}ucn@r{]}
345 -Wbool-compare -Wbool-operation
346 -Wno-builtin-declaration-mismatch
347 -Wno-builtin-macro-redefined -Wc90-c99-compat -Wc99-c11-compat
348 -Wc11-c23-compat -Wc23-c2y-compat
349 -Wc++-compat -Wc++11-compat -Wc++14-compat -Wc++17-compat
351 -Wno-c++11-extensions -Wno-c++14-extensions -Wno-c++17-extensions
352 -Wno-c++20-extensions -Wno-c++23-extensions
353 -Wcalloc-transposed-args
354 -Wcast-align -Wcast-align=strict -Wcast-function-type -Wcast-qual
356 -Wclobbered -Wcomment
357 -Wcompare-distinct-pointer-types
358 -Wno-complain-wrong-lang
359 -Wconversion -Wno-coverage-mismatch -Wno-cpp
360 -Wdangling-else -Wdangling-pointer -Wdangling-pointer=@var{n}
362 -Wno-deprecated -Wno-deprecated-declarations -Wno-designated-init
363 -Wdisabled-optimization
364 -Wno-discarded-array-qualifiers -Wno-discarded-qualifiers
365 -Wno-div-by-zero -Wdouble-promotion
366 -Wduplicated-branches -Wduplicated-cond
367 -Wempty-body -Wno-endif-labels -Wenum-compare -Wenum-conversion
369 -Werror -Werror=* -Wexpansion-to-defined -Wfatal-errors
370 -Wflex-array-member-not-at-end
371 -Wfloat-conversion -Wfloat-equal -Wformat -Wformat=2
372 -Wno-format-contains-nul -Wno-format-extra-args
373 -Wformat-nonliteral -Wformat-overflow=@var{n}
374 -Wformat-security -Wformat-signedness -Wformat-truncation=@var{n}
375 -Wformat-y2k -Wframe-address
376 -Wframe-larger-than=@var{byte-size} -Wno-free-nonheap-object
377 -Wheader-guard -Wno-if-not-aligned -Wno-ignored-attributes
378 -Wignored-qualifiers -Wno-incompatible-pointer-types -Whardened
379 -Wimplicit -Wimplicit-fallthrough -Wimplicit-fallthrough=@var{n}
380 -Wno-implicit-function-declaration -Wno-implicit-int
382 -Winit-self -Winline -Wno-int-conversion -Wint-in-bool-context
383 -Wno-int-to-pointer-cast -Wno-invalid-memory-model
384 -Winvalid-pch -Winvalid-utf8 -Wno-unicode -Wjump-misses-init
385 -Wlarger-than=@var{byte-size} -Wleading-whitespace=@var{kind}
386 -Wlogical-not-parentheses -Wlogical-op
387 -Wlong-long -Wno-lto-type-mismatch -Wmain -Wmaybe-uninitialized
388 -Wmemset-elt-size -Wmemset-transposed-args
389 -Wmisleading-indentation -Wmissing-attributes -Wmissing-braces
390 -Wmissing-field-initializers -Wmissing-format-attribute
391 -Wmissing-include-dirs -Wmissing-noreturn -Wno-missing-profile
392 -Wno-multichar -Wmultistatement-macros -Wnonnull -Wnonnull-compare
393 -Wnormalized=@r{[}none@r{|}id@r{|}nfc@r{|}nfkc@r{]}
394 -Wnull-dereference -Wno-odr
395 -Wopenacc-parallelism
396 -Wopenmp -Wopenmp-simd
397 -Wno-overflow -Woverlength-strings -Wno-override-init-side-effects
398 -Wpacked -Wno-packed-bitfield-compat -Wpacked-not-aligned -Wpadded
399 -Wparentheses -Wno-pedantic-ms-format
400 -Wpointer-arith -Wno-pointer-compare -Wno-pointer-to-int-cast
401 -Wno-pragmas -Wno-pragma-once-outside-header -Wno-prio-ctor-dtor
402 -Wredundant-decls -Wrestrict -Wno-return-local-addr -Wreturn-type
403 -Wno-scalar-storage-order -Wsequence-point
404 -Wshadow -Wshadow=global -Wshadow=local -Wshadow=compatible-local
406 -Wno-shift-count-negative -Wno-shift-count-overflow -Wshift-negative-value
407 -Wno-shift-overflow -Wshift-overflow=@var{n}
408 -Wsign-compare -Wsign-conversion
409 -Wno-sizeof-array-argument
411 -Wsizeof-pointer-div -Wsizeof-pointer-memaccess
412 -Wstack-protector -Wstack-usage=@var{byte-size} -Wstrict-aliasing
413 -Wstrict-aliasing=n -Wstrict-overflow -Wstrict-overflow=@var{n}
415 -Wno-stringop-overflow -Wno-stringop-overread
416 -Wno-stringop-truncation -Wstrict-flex-arrays
417 -Wsuggest-attribute=@r{[}pure@r{|}const@r{|}noreturn@r{|}format@r{|}malloc@r{]}
418 -Wswitch -Wno-switch-bool -Wswitch-default -Wswitch-enum
419 -Wno-switch-outside-range -Wno-switch-unreachable -Wsync-nand
420 -Wsystem-headers -Wtautological-compare -Wtrailing-whitespace
421 -Wtrailing-whitespace=@var{kind} -Wtrampolines -Wtrigraphs
422 -Wtrivial-auto-var-init -Wno-tsan -Wtype-limits -Wundef
423 -Wuninitialized -Wunknown-pragmas
424 -Wunsuffixed-float-constants
425 -Wunterminated-string-initialization
427 -Wunused-but-set-parameter -Wunused-but-set-variable
428 -Wunused-const-variable -Wunused-const-variable=@var{n}
429 -Wunused-function -Wunused-label -Wunused-local-typedefs
431 -Wunused-parameter -Wno-unused-result
432 -Wunused-value -Wunused-variable
433 -Wuse-after-free -Wuse-after-free=@var{n} -Wuseless-cast
434 -Wno-varargs -Wvariadic-macros
435 -Wvector-operation-performance
436 -Wvla -Wvla-larger-than=@var{byte-size} -Wno-vla-larger-than
437 -Wvolatile-register-var -Wwrite-strings
439 -Wzero-length-bounds}
441 @item Static Analyzer Options
444 -fanalyzer-call-summaries
445 -fanalyzer-checker=@var{name}
446 -fno-analyzer-feasibility
447 -fanalyzer-fine-grained
448 -fanalyzer-show-events-in-system-headers
449 -fno-analyzer-state-merge
450 -fno-analyzer-state-purge
451 -fno-analyzer-suppress-followups
452 -fanalyzer-transitivity
453 -fno-analyzer-undo-inlining
454 -fanalyzer-verbose-edges
455 -fanalyzer-verbose-state-changes
456 -fanalyzer-verbosity=@var{level}
458 -fdump-analyzer-callgraph
459 -fdump-analyzer-exploded-graph
460 -fdump-analyzer-exploded-nodes
461 -fdump-analyzer-exploded-nodes-2
462 -fdump-analyzer-exploded-nodes-3
463 -fdump-analyzer-exploded-paths
464 -fdump-analyzer-feasibility
465 -fdump-analyzer-infinite-loop
467 -fdump-analyzer-state-purge
468 -fdump-analyzer-stderr
469 -fdump-analyzer-supergraph
470 -fdump-analyzer-untracked
471 -Wno-analyzer-double-fclose
472 -Wno-analyzer-double-free
473 -Wno-analyzer-exposure-through-output-file
474 -Wno-analyzer-exposure-through-uninit-copy
475 -Wno-analyzer-fd-access-mode-mismatch
476 -Wno-analyzer-fd-double-close
477 -Wno-analyzer-fd-leak
478 -Wno-analyzer-fd-phase-mismatch
479 -Wno-analyzer-fd-type-mismatch
480 -Wno-analyzer-fd-use-after-close
481 -Wno-analyzer-fd-use-without-check
482 -Wno-analyzer-file-leak
483 -Wno-analyzer-free-of-non-heap
484 -Wno-analyzer-imprecise-fp-arithmetic
485 -Wno-analyzer-infinite-loop
486 -Wno-analyzer-infinite-recursion
487 -Wno-analyzer-jump-through-null
488 -Wno-analyzer-malloc-leak
489 -Wno-analyzer-mismatching-deallocation
490 -Wno-analyzer-null-argument
491 -Wno-analyzer-null-dereference
492 -Wno-analyzer-out-of-bounds
493 -Wno-analyzer-overlapping-buffers
494 -Wno-analyzer-possible-null-argument
495 -Wno-analyzer-possible-null-dereference
496 -Wno-analyzer-putenv-of-auto-var
497 -Wno-analyzer-shift-count-negative
498 -Wno-analyzer-shift-count-overflow
499 -Wno-analyzer-stale-setjmp-buffer
500 -Wno-analyzer-tainted-allocation-size
501 -Wno-analyzer-tainted-assertion
502 -Wno-analyzer-tainted-array-index
503 -Wno-analyzer-tainted-divisor
504 -Wno-analyzer-tainted-offset
505 -Wno-analyzer-tainted-size
506 -Wanalyzer-symbol-too-complex
507 -Wanalyzer-too-complex
508 -Wno-analyzer-undefined-behavior-ptrdiff
509 -Wno-analyzer-undefined-behavior-strtok
510 -Wno-analyzer-unsafe-call-within-signal-handler
511 -Wno-analyzer-use-after-free
512 -Wno-analyzer-use-of-pointer-in-stale-stack-frame
513 -Wno-analyzer-use-of-uninitialized-value
514 -Wno-analyzer-va-arg-type-mismatch
515 -Wno-analyzer-va-list-exhausted
516 -Wno-analyzer-va-list-leak
517 -Wno-analyzer-va-list-use-after-va-end
518 -Wno-analyzer-write-to-const
519 -Wno-analyzer-write-to-string-literal
522 @item C and Objective-C-only Warning Options
523 @gccoptlist{-Wbad-function-cast -Wmissing-declarations
524 -Wmissing-parameter-type -Wdeclaration-missing-parameter-type
525 -Wmissing-prototypes -Wmissing-variable-declarations
526 -Wnested-externs -Wold-style-declaration -Wold-style-definition
527 -Wstrict-prototypes -Wtraditional -Wtraditional-conversion
528 -Wdeclaration-after-statement -Wpointer-sign}
530 @item Debugging Options
531 @xref{Debugging Options,,Options for Debugging Your Program}.
532 @gccoptlist{-g -g@var{level} -gdwarf -gdwarf-@var{version}
533 -gbtf -gctf -gctf@var{level}
534 -gprune-btf -gno-prune-btf
535 -ggdb -grecord-gcc-switches -gno-record-gcc-switches
536 -gstrict-dwarf -gno-strict-dwarf
537 -gas-loc-support -gno-as-loc-support
538 -gas-locview-support -gno-as-locview-support
540 -gcolumn-info -gno-column-info -gdwarf32 -gdwarf64
541 -gstatement-frontiers -gno-statement-frontiers
542 -gvariable-location-views -gno-variable-location-views
543 -ginternal-reset-location-views -gno-internal-reset-location-views
544 -ginline-points -gno-inline-points
545 -gvms -gz@r{[}=@var{type}@r{]}
546 -gsplit-dwarf -gdescribe-dies -gno-describe-dies
547 -fdebug-prefix-map=@var{old}=@var{new} -fdebug-types-section
548 -fno-eliminate-unused-debug-types
549 -femit-struct-debug-baseonly -femit-struct-debug-reduced
550 -femit-struct-debug-detailed@r{[}=@var{spec-list}@r{]}
551 -fno-eliminate-unused-debug-symbols -femit-class-debug-always
552 -fno-merge-debug-strings -fno-dwarf2-cfi-asm
553 -fvar-tracking -fvar-tracking-assignments}
555 @item Optimization Options
556 @xref{Optimize Options,,Options that Control Optimization}.
557 @gccoptlist{-faggressive-loop-optimizations
558 -falign-functions[=@var{n}[:@var{m}:[@var{n2}[:@var{m2}]]]]
559 -falign-jumps[=@var{n}[:@var{m}:[@var{n2}[:@var{m2}]]]]
560 -falign-labels[=@var{n}[:@var{m}:[@var{n2}[:@var{m2}]]]]
561 -falign-loops[=@var{n}[:@var{m}:[@var{n2}[:@var{m2}]]]]
562 -fmin-function-alignment=[@var{n}]
563 -fno-allocation-dce -fallow-store-data-races
564 -fassociative-math -fauto-profile -fauto-profile[=@var{path}]
565 -fauto-inc-dec -fbranch-probabilities
567 -fcombine-stack-adjustments -fconserve-stack
569 -fcompare-elim -fcprop-registers -fcrossjumping
570 -fcse-follow-jumps -fcse-skip-blocks -fcx-fortran-rules
572 -fdata-sections -fdce -fdelayed-branch
573 -fdelete-null-pointer-checks -fdevirtualize -fdevirtualize-speculatively
574 -fdevirtualize-at-ltrans -fdse
575 -fearly-inlining -fipa-sra -fexpensive-optimizations -ffat-lto-objects
576 -ffast-math -ffinite-math-only -ffloat-store -fexcess-precision=@var{style}
578 -fforward-propagate -ffp-contract=@var{style} -ffunction-sections
579 -fgcse -fgcse-after-reload -fgcse-las -fgcse-lm -fgraphite-identity
580 -fgcse-sm -fhoist-adjacent-loads -fif-conversion
581 -fif-conversion2 -findirect-inlining
582 -finline-stringops[=@var{fn}]
583 -finline-functions -finline-functions-called-once -finline-limit=@var{n}
584 -finline-small-functions -fipa-modref -fipa-cp -fipa-cp-clone
585 -fipa-bit-cp -fipa-vrp -fipa-pta -fipa-profile -fipa-pure-const
586 -fipa-reference -fipa-reference-addressable
587 -fipa-stack-alignment -fipa-icf -fira-algorithm=@var{algorithm}
588 -flate-combine-instructions -flive-patching=@var{level}
589 -fira-region=@var{region} -fira-hoist-pressure
590 -fira-loop-pressure -fno-ira-share-save-slots
591 -fno-ira-share-spill-slots
592 -fisolate-erroneous-paths-dereference -fisolate-erroneous-paths-attribute
593 -fivopts -fkeep-inline-functions -fkeep-static-functions
594 -fkeep-static-consts -flimit-function-alignment -flive-range-shrinkage
595 -floop-block -floop-interchange -floop-strip-mine
596 -floop-unroll-and-jam -floop-nest-optimize
597 -floop-parallelize-all -flra-remat -flto -flto-compression-level
598 -flto-partition=@var{alg} -fmerge-all-constants
599 -fmerge-constants -fmodulo-sched -fmodulo-sched-allow-regmoves
600 -fmove-loop-invariants -fmove-loop-stores -fno-branch-count-reg
601 -fno-defer-pop -fno-fp-int-builtin-inexact -fno-function-cse
602 -fno-guess-branch-probability -fno-inline -fno-math-errno -fno-peephole
603 -fno-peephole2 -fno-printf-return-value -fno-sched-interblock
604 -fno-sched-spec -fno-signed-zeros
605 -fno-toplevel-reorder -fno-trapping-math -fno-zero-initialized-in-bss
606 -fomit-frame-pointer -foptimize-sibling-calls
607 -fpartial-inlining -fpeel-loops -fpredictive-commoning
608 -fprefetch-loop-arrays
610 -fprofile-use -fprofile-use=@var{path} -fprofile-partial-training
611 -fprofile-values -fprofile-reorder-functions
612 -freciprocal-math -free -frename-registers -freorder-blocks
613 -freorder-blocks-algorithm=@var{algorithm}
614 -freorder-blocks-and-partition -freorder-functions
615 -frerun-cse-after-loop -freschedule-modulo-scheduled-loops
616 -frounding-math -fsave-optimization-record
617 -fsched2-use-superblocks -fsched-pressure
618 -fsched-spec-load -fsched-spec-load-dangerous
619 -fsched-stalled-insns-dep[=@var{n}] -fsched-stalled-insns[=@var{n}]
620 -fsched-group-heuristic -fsched-critical-path-heuristic
621 -fsched-spec-insn-heuristic -fsched-rank-heuristic
622 -fsched-last-insn-heuristic -fsched-dep-count-heuristic
624 -fschedule-insns -fschedule-insns2 -fsection-anchors
625 -fselective-scheduling -fselective-scheduling2
626 -fsel-sched-pipelining -fsel-sched-pipelining-outer-loops
627 -fsemantic-interposition -fshrink-wrap -fshrink-wrap-separate
629 -fsingle-precision-constant -fsplit-ivs-in-unroller -fsplit-loops
631 -fsplit-wide-types -fsplit-wide-types-early -fssa-backprop -fssa-phiopt
632 -fstdarg-opt -fstore-merging -fstrict-aliasing -fipa-strict-aliasing
633 -fthread-jumps -ftracer -ftree-bit-ccp
634 -ftree-builtin-call-dce -ftree-ccp -ftree-ch
635 -ftree-coalesce-vars -ftree-copy-prop -ftree-dce -ftree-dominator-opts
636 -ftree-dse -ftree-forwprop -ftree-fre -fcode-hoisting
637 -ftree-loop-if-convert -ftree-loop-im
638 -ftree-phiprop -ftree-loop-distribution -ftree-loop-distribute-patterns
639 -ftree-loop-ivcanon -ftree-loop-linear -ftree-loop-optimize
640 -ftree-loop-vectorize
641 -ftree-parallelize-loops=@var{n} -ftree-pre -ftree-partial-pre -ftree-pta
642 -ftree-reassoc -ftree-scev-cprop -ftree-sink -ftree-slsr -ftree-sra
643 -ftree-switch-conversion -ftree-tail-merge
644 -ftree-ter -ftree-vectorize -ftree-vrp -ftrivial-auto-var-init
645 -funconstrained-commons -funit-at-a-time -funroll-all-loops
646 -funroll-loops -funsafe-math-optimizations -funswitch-loops
647 -fipa-ra -fvariable-expansion-in-unroller -fvect-cost-model -fvpt
648 -fweb -fwhole-program -fwpa -fuse-linker-plugin -fzero-call-used-regs
649 --param @var{name}=@var{value}
650 -O -O0 -O1 -O2 -O3 -Os -Ofast -Og -Oz}
652 @item Program Instrumentation Options
653 @xref{Instrumentation Options,,Program Instrumentation Options}.
654 @gccoptlist{-p -pg -fprofile-arcs --coverage -ftest-coverage
657 -fprofile-dir=@var{path} -fprofile-generate -fprofile-generate=@var{path}
658 -fprofile-info-section -fprofile-info-section=@var{name}
659 -fprofile-note=@var{path} -fprofile-prefix-path=@var{path}
660 -fprofile-update=@var{method} -fprofile-filter-files=@var{regex}
661 -fprofile-exclude-files=@var{regex}
662 -fprofile-reproducible=@r{[}multithreaded@r{|}parallel-runs@r{|}serial@r{]}
663 -fsanitize=@var{style} -fsanitize-recover -fsanitize-recover=@var{style}
664 -fsanitize-trap -fsanitize-trap=@var{style}
665 -fasan-shadow-offset=@var{number} -fsanitize-sections=@var{s1},@var{s2},...
666 -fsanitize-undefined-trap-on-error -fbounds-check
667 -fcf-protection=@r{[}full@r{|}branch@r{|}return@r{|}none@r{|}check@r{]}
668 -fharden-compares -fharden-conditional-branches -fhardened
669 -fharden-control-flow-redundancy -fhardcfr-skip-leaf
670 -fhardcfr-check-exceptions -fhardcfr-check-returning-calls
671 -fhardcfr-check-noreturn-calls=@r{[}always@r{|}no-xthrow@r{|}nothrow@r{|}never@r{]}
672 -fstack-protector -fstack-protector-all -fstack-protector-strong
673 -fstack-protector-explicit -fstack-check
674 -fstack-limit-register=@var{reg} -fstack-limit-symbol=@var{sym}
675 -fno-stack-limit -fsplit-stack
676 -fstrub=disable -fstrub=strict -fstrub=relaxed
677 -fstrub=all -fstrub=at-calls -fstrub=internal
678 -fvtable-verify=@r{[}std@r{|}preinit@r{|}none@r{]}
679 -fvtv-counts -fvtv-debug
680 -finstrument-functions -finstrument-functions-once
681 -finstrument-functions-exclude-function-list=@var{sym},@var{sym},@dots{}
682 -finstrument-functions-exclude-file-list=@var{file},@var{file},@dots{}
683 -fprofile-prefix-map=@var{old}=@var{new}
684 -fpatchable-function-entry=@var{N}@r{[},@var{M}@r{]}}
686 @item Preprocessor Options
687 @xref{Preprocessor Options,,Options Controlling the Preprocessor}.
688 @gccoptlist{-A@var{question}=@var{answer}
689 -A-@var{question}@r{[}=@var{answer}@r{]}
690 -C -CC -D@var{macro}@r{[}=@var{defn}@r{]}
692 -fdebug-cpp -fdirectives-only -fdollars-in-identifiers
693 -fexec-charset=@var{charset} -fextended-identifiers
694 -finput-charset=@var{charset} -flarge-source-files
695 -fmacro-prefix-map=@var{old}=@var{new} -fmax-include-depth=@var{depth}
696 -fno-canonical-system-headers -fpch-deps -fpch-preprocess
697 -fpreprocessed -ftabstop=@var{width} -ftrack-macro-expansion
698 -fwide-exec-charset=@var{charset} -fworking-directory
699 -H -imacros @var{file} -include @var{file}
700 -M -MD -MF -MG -MM -MMD -MP -MQ -MT -Mno-modules
701 -no-integrated-cpp -P -pthread -remap
702 -traditional -traditional-cpp -trigraphs
704 -Wp,@var{option} -Xpreprocessor @var{option}}
706 @item Assembler Options
707 @xref{Assembler Options,,Passing Options to the Assembler}.
708 @gccoptlist{-Wa,@var{option} -Xassembler @var{option}}
711 @xref{Link Options,,Options for Linking}.
712 @gccoptlist{@var{object-file-name} -fuse-ld=@var{linker} -l@var{library}
713 -nostartfiles -nodefaultlibs -nolibc -nostdlib -nostdlib++
714 -e @var{entry} --entry=@var{entry}
715 -pie -pthread -r -rdynamic
716 -s -static -static-pie -static-libgcc -static-libstdc++
717 -static-libasan -static-libtsan -static-liblsan -static-libubsan
718 -shared -shared-libgcc -symbolic
719 -T @var{script} -Wl,@var{option} -Xlinker @var{option}
720 -u @var{symbol} -z @var{keyword}}
722 @item Directory Options
723 @xref{Directory Options,,Options for Directory Search}.
724 @gccoptlist{-B@var{prefix} -I@var{dir} -I-
726 -imacros @var{file} -imultilib @var{dir}
727 -iplugindir=@var{dir} -iprefix @var{file}
728 -iquote @var{dir} -isysroot @var{dir} -isystem @var{dir}
729 -iwithprefix @var{dir} -iwithprefixbefore @var{dir}
730 --embed-dir=@var{dir}
731 -L@var{dir} -no-canonical-prefixes --no-sysroot-suffix
732 -nostdinc -nostdinc++ --sysroot=@var{dir}}
734 @item Code Generation Options
735 @xref{Code Gen Options,,Options for Code Generation Conventions}.
736 @gccoptlist{-fcall-saved-@var{reg} -fcall-used-@var{reg}
737 -ffixed-@var{reg} -fexceptions
738 -fnon-call-exceptions -fdelete-dead-exceptions -funwind-tables
739 -fasynchronous-unwind-tables
741 -finhibit-size-directive -fcommon -fno-ident
742 -fpcc-struct-return -fpic -fPIC -fpie -fPIE -fno-plt
743 -fno-jump-tables -fno-bit-tests
744 -frecord-gcc-switches
745 -freg-struct-return -fshort-enums -fshort-wchar
746 -fverbose-asm -fpack-struct[=@var{n}]
747 -fleading-underscore -ftls-model=@var{model}
748 -fstack-reuse=@var{reuse_level}
749 -ftrampolines -ftrampoline-impl=@r{[}stack@r{|}heap@r{]}
751 -fvisibility=@r{[}default@r{|}internal@r{|}hidden@r{|}protected@r{]}
752 -fstrict-volatile-bitfields -fsync-libcalls}
754 @item Developer Options
755 @xref{Developer Options,,GCC Developer Options}.
756 @gccoptlist{-d@var{letters} -dumpspecs -dumpmachine -dumpversion
757 -dumpfullversion -fcallgraph-info@r{[}=su,da@r{]}
758 -fchecking -fchecking=@var{n}
759 -fdbg-cnt-list -fdbg-cnt=@var{counter-value-list}
760 -fdisable-ipa-@var{pass_name}
761 -fdisable-rtl-@var{pass_name}
762 -fdisable-rtl-@var{pass-name}=@var{range-list}
763 -fdisable-tree-@var{pass_name}
764 -fdisable-tree-@var{pass-name}=@var{range-list}
765 -fdump-debug -fdump-earlydebug
766 -fdump-noaddr -fdump-unnumbered -fdump-unnumbered-links
767 -fdump-final-insns@r{[}=@var{file}@r{]}
768 -fdump-ipa-all -fdump-ipa-cgraph -fdump-ipa-inline
770 -fdump-lang-@var{switch}
771 -fdump-lang-@var{switch}-@var{options}
772 -fdump-lang-@var{switch}-@var{options}=@var{filename}
774 -fdump-rtl-@var{pass} -fdump-rtl-@var{pass}=@var{filename}
777 -fdump-tree-@var{switch}
778 -fdump-tree-@var{switch}-@var{options}
779 -fdump-tree-@var{switch}-@var{options}=@var{filename}
780 -fcompare-debug@r{[}=@var{opts}@r{]} -fcompare-debug-second
781 -fenable-@var{kind}-@var{pass}
782 -fenable-@var{kind}-@var{pass}=@var{range-list}
783 -fira-verbose=@var{n}
784 -flto-report -flto-report-wpa -fmem-report-wpa
785 -fmem-report -fpre-ipa-mem-report -fpost-ipa-mem-report
786 -fopt-info -fopt-info-@var{options}@r{[}=@var{file}@r{]}
787 -fmultiflags -fprofile-report
788 -frandom-seed=@var{string} -fsched-verbose=@var{n}
789 -fsel-sched-verbose -fsel-sched-dump-cfg -fsel-sched-pipelining-verbose
790 -fstats -fstack-usage -ftime-report -ftime-report-details
791 -fvar-tracking-assignments-toggle -gtoggle
792 -print-file-name=@var{library} -print-libgcc-file-name
793 -print-multi-directory -print-multi-lib -print-multi-os-directory
794 -print-prog-name=@var{program} -print-search-dirs -Q
795 -print-sysroot -print-sysroot-headers-suffix
796 -save-temps -save-temps=cwd -save-temps=obj -time@r{[}=@var{file}@r{]}}
798 @item Machine-Dependent Options
799 @xref{Submodel Options,,Machine-Dependent Options}.
800 @c This list is ordered alphanumerically by subsection name.
801 @c Try and put the significant identifier (CPU or system) first,
802 @c so users have a clue at guessing where the ones they want will be.
804 @emph{AArch64 Options}
805 @gccoptlist{-mabi=@var{name} -mbig-endian -mlittle-endian
807 -mcmodel=tiny -mcmodel=small -mcmodel=large
808 -mstrict-align -mno-strict-align
809 -momit-leaf-frame-pointer
810 -mtls-dialect=desc -mtls-dialect=traditional
811 -mtls-size=@var{size}
812 -mfix-cortex-a53-835769 -mfix-cortex-a53-843419
813 -mlow-precision-recip-sqrt -mlow-precision-sqrt -mlow-precision-div
814 -mpc-relative-literal-loads
815 -msign-return-address=@var{scope}
816 -mbranch-protection=@var{none}|@var{standard}|@var{pac-ret}[+@var{leaf}
817 +@var{b-key}]|@var{bti}
818 -mharden-sls=@var{opts}
819 -march=@var{name} -mcpu=@var{name} -mtune=@var{name}
820 -moverride=@var{string} -mverbose-cost-dump
821 -mstack-protector-guard=@var{guard} -mstack-protector-guard-reg=@var{sysreg}
822 -mstack-protector-guard-offset=@var{offset} -mtrack-speculation
823 -moutline-atomics -mearly-ldp-fusion -mlate-ldp-fusion}
825 @emph{Adapteva Epiphany Options}
826 @gccoptlist{-mhalf-reg-file -mprefer-short-insn-regs
827 -mbranch-cost=@var{num} -mcmove -mnops=@var{num} -msoft-cmpsf
828 -msplit-lohi -mpost-inc -mpost-modify -mstack-offset=@var{num}
829 -mround-nearest -mlong-calls -mshort-calls -msmall16
830 -mfp-mode=@var{mode} -mvect-double -max-vect-align=@var{num}
831 -msplit-vecmove-early -m1reg-@var{reg}}
833 @emph{AMD GCN Options}
834 @gccoptlist{-march=@var{gpu} -mtune=@var{gpu} -mstack-size=@var{bytes}}
837 @gccoptlist{-mbarrel-shifter -mjli-always
838 -mcpu=@var{cpu} -mA6 -mARC600 -mA7 -mARC700
839 -mdpfp -mdpfp-compact -mdpfp-fast -mno-dpfp-lrsr
840 -mea -mno-mpy -mmul32x16 -mmul64 -matomic
841 -mnorm -mspfp -mspfp-compact -mspfp-fast -msimd -msoft-float -mswap
842 -mcrc -mdsp-packa -mdvbf -mlock -mmac-d16 -mmac-24 -mrtsc -mswape
843 -mtelephony -mxy -misize -mannotate-align -marclinux -marclinux_prof
844 -mlong-calls -mmedium-calls -msdata -mirq-ctrl-saved
845 -mrgf-banked-regs -mlpc-width=@var{width} -G @var{num}
846 -mvolatile-cache -mtp-regno=@var{regno}
847 -malign-call -mauto-modify-reg -mbbit-peephole -mno-brcc
848 -mcase-vector-pcrel -mcompact-casesi -mno-cond-exec -mearly-cbranchsi
849 -mexpand-adddi -mindexed-loads -mlra -mlra-priority-none
850 -mlra-priority-compact -mlra-priority-noncompact -mmillicode
851 -mmixed-code -mq-class -mRcq -mRcw -msize-level=@var{level}
852 -mtune=@var{cpu} -mmultcost=@var{num} -mcode-density-frame
853 -munalign-prob-threshold=@var{probability} -mmpy-option=@var{multo}
854 -mdiv-rem -mcode-density -mll64 -mfpu=@var{fpu} -mrf16 -mbranch-index}
857 @gccoptlist{-mapcs-frame -mno-apcs-frame
859 -mapcs-stack-check -mno-apcs-stack-check
860 -mapcs-reentrant -mno-apcs-reentrant
862 -msched-prolog -mno-sched-prolog
863 -mlittle-endian -mbig-endian
865 -mfloat-abi=@var{name}
866 -mfp16-format=@var{name}
867 -mthumb-interwork -mno-thumb-interwork
868 -mcpu=@var{name} -march=@var{name} -mfpu=@var{name}
869 -mtune=@var{name} -mprint-tune-info
870 -mstructure-size-boundary=@var{n}
872 -mlong-calls -mno-long-calls
873 -msingle-pic-base -mno-single-pic-base
874 -mpic-register=@var{reg}
877 -mthumb -marm -mflip-thumb
878 -mtpcs-frame -mtpcs-leaf-frame
879 -mcaller-super-interworking -mcallee-super-interworking
880 -mtp=@var{name} -mtls-dialect=@var{dialect}
883 -mfix-cortex-a57-aes-1742098
884 -mfix-cortex-a72-aes-1655431
893 -mfix-cmse-cve-2021-35465
894 -mstack-protector-guard=@var{guard} -mstack-protector-guard-offset=@var{offset}
896 -mbranch-protection=@var{none}|@var{standard}|@var{pac-ret}[+@var{leaf}]
897 [+@var{bti}]|@var{bti}[+@var{pac-ret}[+@var{leaf}]]}
900 @gccoptlist{-mmcu=@var{mcu} -mabsdata -maccumulate-args
901 -mbranch-cost=@var{cost} -mfuse-add=@var{level}
902 -mcall-prologues -mgas-isr-prologues -mint8 -mflmap
903 -mdouble=@var{bits} -mlong-double=@var{bits}
904 -mn_flash=@var{size} -mno-interrupts
905 -mmain-is-OS_task -mrelax -mrmw -mstrict-X -mtiny-stack
906 -mrodata-in-ram -mfract-convert-truncate
907 -mshort-calls -mskip-bug -nodevicelib -nodevicespecs
908 -Waddr-space-convert -Wmisspelled-isr}
910 @emph{Blackfin Options}
911 @gccoptlist{-mcpu=@var{cpu}@r{[}-@var{sirevision}@r{]}
912 -msim -momit-leaf-frame-pointer -mno-omit-leaf-frame-pointer
913 -mspecld-anomaly -mno-specld-anomaly -mcsync-anomaly -mno-csync-anomaly
914 -mlow-64k -mno-low64k -mstack-check-l1 -mid-shared-library
915 -mno-id-shared-library -mshared-library-id=@var{n}
916 -mleaf-id-shared-library -mno-leaf-id-shared-library
917 -msep-data -mno-sep-data -mlong-calls -mno-long-calls
918 -mfast-fp -minline-plt -mmulticore -mcorea -mcoreb -msdram
922 @gccoptlist{-mbig-endian -mlittle-endian -march=@var{cpu}
923 -msim -msdata=@var{sdata-type}}
926 @gccoptlist{-mcpu=@var{cpu} -march=@var{cpu}
927 -mtune=@var{cpu} -mmax-stack-frame=@var{n}
928 -metrax4 -metrax100 -mpdebug -mcc-init -mno-side-effects
929 -mstack-align -mdata-align -mconst-align
930 -m32-bit -m16-bit -m8-bit -mno-prologue-epilogue
931 -melf -maout -sim -sim2
932 -mmul-bug-workaround -mno-mul-bug-workaround}
935 @gccoptlist{-march=@var{arch} -mcpu=@var{cpu}
936 -mbig-endian -EB -mlittle-endian -EL
937 -mhard-float -msoft-float -mfpu=@var{fpu} -mdouble-float -mfdivdu
938 -mfloat-abi=@var{name}
939 -melrw -mistack -mmp -mcp -mcache -msecurity -mtrust
941 -mdiv -msmart -mhigh-registers -manchor
942 -mpushpop -mmultiple-stld -mconstpool -mstack-size -mccrt
943 -mbranch-cost=@var{n} -mcse-cc -msched-prolog -msim}
945 @emph{Darwin Options}
946 @gccoptlist{-all_load -allowable_client -arch -arch_errors_fatal
947 -arch_only -bind_at_load -bundle -bundle_loader
948 -client_name -compatibility_version -current_version
950 -dependency-file -dylib_file -dylinker_install_name
951 -dynamic -dynamiclib -exported_symbols_list
952 -filelist -flat_namespace -force_cpusubtype_ALL
953 -force_flat_namespace -headerpad_max_install_names
955 -image_base -init -install_name -keep_private_externs
956 -multi_module -multiply_defined -multiply_defined_unused
957 -noall_load -no_dead_strip_inits_and_terms -nodefaultrpaths
958 -nofixprebinding -nomultidefs -noprebind -noseglinkedit
959 -pagezero_size -prebind -prebind_all_twolevel_modules
960 -private_bundle -read_only_relocs -sectalign
961 -sectobjectsymbols -whyload -seg1addr
962 -sectcreate -sectobjectsymbols -sectorder
963 -segaddr -segs_read_only_addr -segs_read_write_addr
964 -seg_addr_table -seg_addr_table_filename -seglinkedit
965 -segprot -segs_read_only_addr -segs_read_write_addr
966 -single_module -static -sub_library -sub_umbrella
967 -twolevel_namespace -umbrella -undefined
968 -unexported_symbols_list -weak_reference_mismatches
969 -whatsloaded -F -gused -gfull -mmacosx-version-min=@var{version}
970 -mkernel -mone-byte-bool}
972 @emph{DEC Alpha Options}
973 @gccoptlist{-mno-fp-regs -msoft-float
974 -mieee -mieee-with-inexact -mieee-conformant
975 -mfp-trap-mode=@var{mode} -mfp-rounding-mode=@var{mode}
976 -mtrap-precision=@var{mode} -mbuild-constants
977 -mcpu=@var{cpu-type} -mtune=@var{cpu-type}
978 -mbwx -mmax -mfix -mcix
979 -mfloat-vax -mfloat-ieee
980 -mexplicit-relocs -msmall-data -mlarge-data
981 -msmall-text -mlarge-text
982 -mmemory-latency=@var{time}}
985 @gccoptlist{-mbig-endian -mlittle-endian
986 -mframe-limit=@var{bytes} -mxbpf -mco-re -mno-co-re -mjmpext
987 -mjmp32 -malu32 -mv3-atomics -mbswap -msdiv -msmov -mcpu=@var{version}
988 -masm=@var{dialect} -minline-memops-threshold=@var{bytes}}
991 @gccoptlist{-msmall-model -mno-lsim}
994 @gccoptlist{-msim -mlra -mnodiv -mft32b -mcompress -mnopm}
997 @gccoptlist{-mgpr-32 -mgpr-64 -mfpr-32 -mfpr-64
998 -mhard-float -msoft-float
999 -malloc-cc -mfixed-cc -mdword -mno-dword
1000 -mdouble -mno-double
1001 -mmedia -mno-media -mmuladd -mno-muladd
1002 -mfdpic -minline-plt -mgprel-ro -multilib-library-pic
1003 -mlinked-fp -mlong-calls -malign-labels
1004 -mlibrary-pic -macc-4 -macc-8
1005 -mpack -mno-pack -mno-eflags -mcond-move -mno-cond-move
1006 -moptimize-membar -mno-optimize-membar
1007 -mscc -mno-scc -mcond-exec -mno-cond-exec
1008 -mvliw-branch -mno-vliw-branch
1009 -mmulti-cond-exec -mno-multi-cond-exec -mnested-cond-exec
1010 -mno-nested-cond-exec -mtomcat-stats
1014 @emph{GNU/Linux Options}
1015 @gccoptlist{-mglibc -muclibc -mmusl -mbionic -mandroid
1016 -tno-android-cc -tno-android-ld}
1018 @emph{H8/300 Options}
1019 @gccoptlist{-mrelax -mh -ms -mn -mexr -mno-exr -mint32 -malign-300}
1022 @gccoptlist{-march=@var{architecture-type}
1023 -matomic-libcalls -mbig-switch
1024 -mcaller-copies -mdisable-fpregs -mdisable-indexing
1025 -mordered -mfast-indirect-calls -mgas -mgnu-ld -mhp-ld
1026 -mfixed-range=@var{register-range}
1027 -mcoherent-ldcw -mjump-in-delay -mlinker-opt -mlong-calls
1028 -mlong-load-store -mno-atomic-libcalls -mno-disable-fpregs
1029 -mno-disable-indexing -mno-fast-indirect-calls -mno-gas
1030 -mno-jump-in-delay -mno-long-load-store
1031 -mno-portable-runtime -mno-soft-float
1032 -mno-space-regs -msoft-float -mpa-risc-1-0
1033 -mpa-risc-1-1 -mpa-risc-2-0 -mportable-runtime
1034 -mschedule=@var{cpu-type} -mspace-regs -msoft-mult -msio -mwsio
1035 -munix=@var{unix-std} -nolibdld -static -threads}
1037 @emph{IA-64 Options}
1038 @gccoptlist{-mbig-endian -mlittle-endian -mgnu-as -mgnu-ld -mno-pic
1039 -mvolatile-asm-stop -mregister-names -msdata -mno-sdata
1040 -mconstant-gp -mauto-pic -mfused-madd
1041 -minline-float-divide-min-latency
1042 -minline-float-divide-max-throughput
1043 -mno-inline-float-divide
1044 -minline-int-divide-min-latency
1045 -minline-int-divide-max-throughput
1046 -mno-inline-int-divide
1047 -minline-sqrt-min-latency -minline-sqrt-max-throughput
1049 -mdwarf2-asm -mearly-stop-bits
1050 -mfixed-range=@var{register-range} -mtls-size=@var{tls-size}
1051 -mtune=@var{cpu-type} -milp32 -mlp64
1052 -msched-br-data-spec -msched-ar-data-spec -msched-control-spec
1053 -msched-br-in-data-spec -msched-ar-in-data-spec -msched-in-control-spec
1054 -msched-spec-ldc -msched-spec-control-ldc
1055 -msched-prefer-non-data-spec-insns -msched-prefer-non-control-spec-insns
1056 -msched-stop-bits-after-every-cycle -msched-count-spec-in-critical-path
1057 -msel-sched-dont-check-control-spec -msched-fp-mem-deps-zero-cost
1058 -msched-max-memory-insns-hard-limit -msched-max-memory-insns=@var{max-insns}}
1061 @gccoptlist{-mbarrel-shift-enabled -mdivide-enabled -mmultiply-enabled
1062 -msign-extend-enabled -muser-enabled}
1064 @emph{LoongArch Options}
1065 @gccoptlist{-march=@var{arch-type} -mtune=@var{tune-type} -mabi=@var{base-abi-type}
1066 -mfpu=@var{fpu-type} -msimd=@var{simd-type}
1067 -msoft-float -msingle-float -mdouble-float -mlsx -mno-lsx -mlasx -mno-lasx
1068 -mbranch-cost=@var{n} -mcheck-zero-division -mno-check-zero-division
1069 -mcond-move-int -mno-cond-move-int
1070 -mcond-move-float -mno-cond-move-float
1071 -memcpy -mno-memcpy -mstrict-align -mno-strict-align
1072 -mmax-inline-memcpy-size=@var{n}
1073 -mexplicit-relocs=@var{style} -mexplicit-relocs -mno-explicit-relocs
1074 -mdirect-extern-access -mno-direct-extern-access
1075 -mcmodel=@var{code-model} -mrelax -mpass-mrelax-to-as
1076 -mrecip -mrecip=@var{opt} -mfrecipe -mno-frecipe -mdiv32 -mno-div32
1077 -mlam-bh -mno-lam-bh -mlamcas -mno-lamcas -mld-seq-sa -mno-ld-seq-sa
1078 -mtls-dialect=@var{opt} -mannotate-tablejump -mno-annotate-tablejump}
1080 @emph{M32R/D Options}
1081 @gccoptlist{-m32r2 -m32rx -m32r
1083 -malign-loops -mno-align-loops
1084 -missue-rate=@var{number}
1085 -mbranch-cost=@var{number}
1086 -mmodel=@var{code-size-model-type}
1087 -msdata=@var{sdata-type}
1088 -mno-flush-func -mflush-func=@var{name}
1089 -mno-flush-trap -mflush-trap=@var{number}
1093 @gccoptlist{-mcpu=@var{cpu} -msim -memregs=@var{number}}
1095 @emph{M680x0 Options}
1096 @gccoptlist{-march=@var{arch} -mcpu=@var{cpu} -mtune=@var{tune}
1097 -m68000 -m68020 -m68020-40 -m68020-60 -m68030 -m68040
1098 -m68060 -mcpu32 -m5200 -m5206e -m528x -m5307 -m5407
1099 -mcfv4e -mbitfield -mno-bitfield -mc68000 -mc68020
1100 -mnobitfield -mrtd -mno-rtd -mdiv -mno-div -mshort
1101 -mno-short -mhard-float -m68881 -msoft-float -mpcrel
1102 -malign-int -mstrict-align -msep-data -mno-sep-data
1103 -mshared-library-id=n -mid-shared-library -mno-id-shared-library
1104 -mxgot -mno-xgot -mlong-jump-table-offsets}
1106 @emph{MCore Options}
1107 @gccoptlist{-mhardlit -mno-hardlit -mdiv -mno-div -mrelax-immediates
1108 -mno-relax-immediates -mwide-bitfields -mno-wide-bitfields
1109 -m4byte-functions -mno-4byte-functions -mcallgraph-data
1110 -mno-callgraph-data -mslow-bytes -mno-slow-bytes -mno-lsim
1111 -mlittle-endian -mbig-endian -m210 -m340 -mstack-increment}
1113 @emph{MicroBlaze Options}
1114 @gccoptlist{-msoft-float -mhard-float -msmall-divides -mcpu=@var{cpu}
1115 -mmemcpy -mxl-soft-mul -mxl-soft-div -mxl-barrel-shift
1116 -mxl-pattern-compare -mxl-stack-check -mxl-gp-opt -mno-clearbss
1117 -mxl-multiply-high -mxl-float-convert -mxl-float-sqrt
1118 -mbig-endian -mlittle-endian -mxl-reorder -mxl-mode-@var{app-model}
1119 -mpic-data-is-text-relative}
1122 @gccoptlist{-EL -EB -march=@var{arch} -mtune=@var{arch}
1123 -mips1 -mips2 -mips3 -mips4 -mips32 -mips32r2 -mips32r3 -mips32r5
1124 -mips32r6 -mips64 -mips64r2 -mips64r3 -mips64r5 -mips64r6
1125 -mips16 -mno-mips16 -mflip-mips16
1126 -minterlink-compressed -mno-interlink-compressed
1127 -minterlink-mips16 -mno-interlink-mips16
1128 -mabi=@var{abi} -mabicalls -mno-abicalls
1129 -mshared -mno-shared -mplt -mno-plt -mxgot -mno-xgot
1130 -mgp32 -mgp64 -mfp32 -mfpxx -mfp64 -mhard-float -msoft-float
1131 -mno-float -msingle-float -mdouble-float
1132 -modd-spreg -mno-odd-spreg
1133 -mabs=@var{mode} -mnan=@var{encoding}
1134 -mdsp -mno-dsp -mdspr2 -mno-dspr2
1141 -mmicromips -mno-micromips
1143 -mloongson-mmi -mno-loongson-mmi
1144 -mloongson-ext -mno-loongson-ext
1145 -mloongson-ext2 -mno-loongson-ext2
1146 -mfpu=@var{fpu-type}
1147 -msmartmips -mno-smartmips
1148 -mpaired-single -mno-paired-single -mdmx -mno-mdmx
1149 -mips3d -mno-mips3d -mmt -mno-mt -mllsc -mno-llsc
1150 -mlong64 -mlong32 -msym32 -mno-sym32
1151 -G@var{num} -mlocal-sdata -mno-local-sdata
1152 -mextern-sdata -mno-extern-sdata -mgpopt -mno-gopt
1153 -membedded-data -mno-embedded-data
1154 -muninit-const-in-rodata -mno-uninit-const-in-rodata
1155 -mcode-readable=@var{setting}
1156 -msplit-addresses -mno-split-addresses
1157 -mexplicit-relocs -mno-explicit-relocs
1158 -mexplicit-relocs=@var{release}
1159 -mcheck-zero-division -mno-check-zero-division
1160 -mdivide-traps -mdivide-breaks
1161 -mload-store-pairs -mno-load-store-pairs
1162 -mstrict-align -mno-strict-align
1163 -mno-unaligned-access -munaligned-access
1164 -mmemcpy -mno-memcpy -mlong-calls -mno-long-calls
1165 -mmad -mno-mad -mimadd -mno-imadd -mfused-madd -mno-fused-madd -nocpp
1166 -mfix-24k -mno-fix-24k
1167 -mfix-r4000 -mno-fix-r4000 -mfix-r4400 -mno-fix-r4400
1168 -mfix-r5900 -mno-fix-r5900
1169 -mfix-r10000 -mno-fix-r10000 -mfix-rm7000 -mno-fix-rm7000
1170 -mfix-vr4120 -mno-fix-vr4120
1171 -mfix-vr4130 -mno-fix-vr4130 -mfix-sb1 -mno-fix-sb1
1172 -mflush-func=@var{func} -mno-flush-func
1173 -mbranch-cost=@var{num} -mbranch-likely -mno-branch-likely
1174 -mcompact-branches=@var{policy}
1175 -mfp-exceptions -mno-fp-exceptions
1176 -mvr4130-align -mno-vr4130-align -msynci -mno-synci
1177 -mlxc1-sxc1 -mno-lxc1-sxc1 -mmadd4 -mno-madd4
1178 -mrelax-pic-calls -mno-relax-pic-calls -mmcount-ra-address
1179 -mframe-header-opt -mno-frame-header-opt}
1182 @gccoptlist{-mlibfuncs -mno-libfuncs -mepsilon -mno-epsilon -mabi=gnu
1183 -mabi=mmixware -mzero-extend -mknuthdiv -mtoplevel-symbols
1184 -melf -mbranch-predict -mno-branch-predict -mbase-addresses
1185 -mno-base-addresses -msingle-exit -mno-single-exit}
1187 @emph{MN10300 Options}
1188 @gccoptlist{-mmult-bug -mno-mult-bug
1189 -mno-am33 -mam33 -mam33-2 -mam34
1190 -mtune=@var{cpu-type}
1191 -mreturn-pointer-on-d0
1192 -mno-crt0 -mrelax -mliw -msetlb}
1194 @emph{Moxie Options}
1195 @gccoptlist{-meb -mel -mmul.x -mno-crt0}
1197 @emph{MSP430 Options}
1198 @gccoptlist{-msim -masm-hex -mmcu= -mcpu= -mlarge -msmall -mrelax
1200 -mcode-region= -mdata-region=
1201 -msilicon-errata= -msilicon-errata-warn=
1202 -mhwmult= -minrt -mtiny-printf -mmax-inline-shift=}
1204 @emph{NDS32 Options}
1205 @gccoptlist{-mbig-endian -mlittle-endian
1206 -mreduced-regs -mfull-regs
1208 -mext-perf -mno-ext-perf
1209 -mext-perf2 -mno-ext-perf2
1210 -mext-string -mno-ext-string
1211 -mv3push -mno-v3push
1213 -misr-vector-size=@var{num}
1214 -mcache-block-size=@var{num}
1216 -mcmodel=@var{code-model}
1217 -mctor-dtor -mrelax}
1219 @emph{Nios II Options}
1220 @gccoptlist{-G @var{num} -mgpopt=@var{option} -mgpopt -mno-gpopt
1221 -mgprel-sec=@var{regexp} -mr0rel-sec=@var{regexp}
1223 -mno-bypass-cache -mbypass-cache
1224 -mno-cache-volatile -mcache-volatile
1225 -mno-fast-sw-div -mfast-sw-div
1226 -mhw-mul -mno-hw-mul -mhw-mulx -mno-hw-mulx -mno-hw-div -mhw-div
1227 -mcustom-@var{insn}=@var{N} -mno-custom-@var{insn}
1228 -mcustom-fpu-cfg=@var{name}
1229 -mhal -msmallc -msys-crt0=@var{name} -msys-lib=@var{name}
1230 -march=@var{arch} -mbmx -mno-bmx -mcdx -mno-cdx}
1232 @emph{Nvidia PTX Options}
1233 @gccoptlist{-m64 -mmainkernel -moptimize}
1235 @emph{OpenRISC Options}
1236 @gccoptlist{-mboard=@var{name} -mnewlib -mhard-mul -mhard-div
1237 -msoft-mul -msoft-div
1238 -msoft-float -mhard-float -mdouble-float -munordered-float
1239 -mcmov -mror -mrori -msext -msfimm -mshftimm
1240 -mcmodel=@var{code-model}}
1242 @emph{PDP-11 Options}
1243 @gccoptlist{-mfpu -msoft-float -mac0 -mno-ac0 -m40 -m45 -m10
1244 -mint32 -mno-int16 -mint16 -mno-int32
1245 -msplit -munix-asm -mdec-asm -mgnu-asm -mlra}
1247 @emph{PowerPC Options}
1248 See RS/6000 and PowerPC Options.
1251 @gccoptlist{-mmcu=@var{mcu} -minrt -mno-relax -mloop
1252 -mabi=@var{variant}}
1254 @emph{RISC-V Options}
1255 @gccoptlist{-mbranch-cost=@var{N-instruction}
1257 -mabi=@var{ABI-string}
1259 -mfence-tso -mno-fence-tso
1261 -misa-spec=@var{ISA-spec-string}
1262 -march=@var{ISA-string}
1263 -mtune=@var{processor-string}
1264 -mpreferred-stack-boundary=@var{num}
1265 -msmall-data-limit=@var{N-bytes}
1266 -msave-restore -mno-save-restore
1267 -mshorten-memrefs -mno-shorten-memrefs
1268 -mstrict-align -mno-strict-align
1269 -mcmodel=medlow -mcmodel=medany -mcmodel=large
1270 -mexplicit-relocs -mno-explicit-relocs
1272 -mriscv-attribute -mno-riscv-attribute
1273 -malign-data=@var{type}
1274 -mbig-endian -mlittle-endian
1275 -mstack-protector-guard=@var{guard} -mstack-protector-guard-reg=@var{reg}
1276 -mstack-protector-guard-offset=@var{offset}
1277 -mcsr-check -mno-csr-check
1279 -minline-atomics -mno-inline-atomics
1280 -minline-strlen -mno-inline-strlen
1281 -minline-strcmp -mno-inline-strcmp
1282 -minline-strncmp -mno-inline-strncmp
1283 -mtls-dialect=desc -mtls-dialect=trad}
1286 @gccoptlist{-msim -mmul=none -mmul=g13 -mmul=g14 -mallregs
1287 -mcpu=g10 -mcpu=g13 -mcpu=g14 -mg10 -mg13 -mg14
1288 -m64bit-doubles -m32bit-doubles -msave-mduc-in-interrupts}
1290 @emph{RS/6000 and PowerPC Options}
1291 @gccoptlist{-mcpu=@var{cpu-type}
1292 -mtune=@var{cpu-type}
1293 -mcmodel=@var{code-model}
1295 -maltivec -mno-altivec
1296 -mpowerpc-gpopt -mno-powerpc-gpopt
1297 -mpowerpc-gfxopt -mno-powerpc-gfxopt
1298 -mmfcrf -mno-mfcrf -mpopcntb -mno-popcntb -mpopcntd -mno-popcntd
1300 -mcmpb -mno-cmpb -mhard-dfp -mno-hard-dfp
1301 -mfull-toc -mminimal-toc -mno-fp-in-toc -mno-sum-in-toc
1302 -m64 -m32 -mxl-compat -mno-xl-compat -mpe
1303 -malign-power -malign-natural
1304 -msoft-float -mhard-float -mmultiple -mno-multiple
1305 -mupdate -mno-update
1306 -mavoid-indexed-addresses -mno-avoid-indexed-addresses
1307 -mfused-madd -mno-fused-madd -mbit-align -mno-bit-align
1308 -mstrict-align -mno-strict-align -mrelocatable
1309 -mno-relocatable -mrelocatable-lib -mno-relocatable-lib
1310 -mtoc -mno-toc -mlittle -mlittle-endian -mbig -mbig-endian
1311 -mdynamic-no-pic -mswdiv -msingle-pic-base
1312 -mprioritize-restricted-insns=@var{priority}
1313 -msched-costly-dep=@var{dependence_type}
1314 -minsert-sched-nops=@var{scheme}
1315 -mcall-aixdesc -mcall-eabi -mcall-freebsd
1316 -mcall-linux -mcall-netbsd -mcall-openbsd
1317 -mcall-sysv -mcall-sysv-eabi -mcall-sysv-noeabi
1318 -mtraceback=@var{traceback_type}
1319 -maix-struct-return -msvr4-struct-return
1320 -mabi=@var{abi-type} -msecure-plt -mbss-plt
1321 -mlongcall -mno-longcall -mpltseq -mno-pltseq
1322 -mblock-move-inline-limit=@var{num}
1323 -mblock-compare-inline-limit=@var{num}
1324 -mblock-compare-inline-loop-limit=@var{num}
1325 -mno-block-ops-unaligned-vsx
1326 -mstring-compare-inline-limit=@var{num}
1328 -mvrsave -mno-vrsave
1331 -mprototype -mno-prototype
1332 -msim -mmvme -mads -myellowknife -memb -msdata
1333 -msdata=@var{opt} -mreadonly-in-sdata -mvxworks -G @var{num}
1334 -mrecip -mrecip=@var{opt} -mno-recip -mrecip-precision
1335 -mno-recip-precision
1336 -mveclibabi=@var{type} -mfriz -mno-friz
1337 -mpointers-to-nested-functions -mno-pointers-to-nested-functions
1338 -msave-toc-indirect -mno-save-toc-indirect
1339 -mpower8-fusion -mno-mpower8-fusion
1340 -mcrypto -mno-crypto -mhtm -mno-htm
1341 -mquad-memory -mno-quad-memory
1342 -mquad-memory-atomic -mno-quad-memory-atomic
1343 -mcompat-align-parm -mno-compat-align-parm
1344 -mfloat128 -mno-float128 -mfloat128-hardware -mno-float128-hardware
1345 -mgnu-attribute -mno-gnu-attribute
1346 -mstack-protector-guard=@var{guard} -mstack-protector-guard-reg=@var{reg}
1347 -mstack-protector-guard-offset=@var{offset} -mprefixed -mno-prefixed
1348 -mpcrel -mno-pcrel -mmma -mno-mmma -mrop-protect -mno-rop-protect
1349 -mprivileged -mno-privileged}
1352 @gccoptlist{-m64bit-doubles -m32bit-doubles -fpu -nofpu
1354 -mbig-endian-data -mlittle-endian-data
1357 -mas100-syntax -mno-as100-syntax
1359 -mmax-constant-size=
1362 -mallow-string-insns -mno-allow-string-insns
1364 -mno-warn-multiple-fast-interrupts
1365 -msave-acc-in-interrupts}
1367 @emph{S/390 and zSeries Options}
1368 @gccoptlist{-mtune=@var{cpu-type} -march=@var{cpu-type}
1369 -mhard-float -msoft-float -mhard-dfp -mno-hard-dfp
1370 -mlong-double-64 -mlong-double-128
1371 -mbackchain -mno-backchain -mpacked-stack -mno-packed-stack
1372 -msmall-exec -mno-small-exec -mmvcle -mno-mvcle
1373 -m64 -m31 -mdebug -mno-debug -mesa -mzarch
1374 -mhtm -mvx -mzvector
1375 -mtpf-trace -mno-tpf-trace -mtpf-trace-skip -mno-tpf-trace-skip
1376 -mfused-madd -mno-fused-madd
1377 -mwarn-framesize -mwarn-dynamicstack -mstack-size -mstack-guard
1378 -mhotpatch=@var{halfwords},@var{halfwords}}
1381 @gccoptlist{-m1 -m2 -m2e
1382 -m2a-nofpu -m2a-single-only -m2a-single -m2a
1384 -m4-nofpu -m4-single-only -m4-single -m4
1385 -m4a-nofpu -m4a-single-only -m4a-single -m4a -m4al
1386 -mb -ml -mdalign -mrelax
1387 -mbigtable -mfmovd -mrenesas -mno-renesas -mnomacsave
1388 -mieee -mno-ieee -mbitops -misize -minline-ic_invalidate -mpadstruct
1389 -mprefergot -musermode -multcost=@var{number} -mdiv=@var{strategy}
1390 -mdivsi3_libfunc=@var{name} -mfixed-range=@var{register-range}
1391 -maccumulate-outgoing-args
1392 -matomic-model=@var{atomic-model}
1393 -mbranch-cost=@var{num} -mzdcbranch -mno-zdcbranch
1394 -mcbranch-force-delay-slot
1395 -mfused-madd -mno-fused-madd -mfsca -mno-fsca -mfsrra -mno-fsrra
1396 -mpretend-cmove -mtas}
1398 @emph{Solaris 2 Options}
1399 @gccoptlist{-mclear-hwcap -mno-clear-hwcap -mimpure-text -mno-impure-text
1402 @emph{SPARC Options}
1403 @gccoptlist{-mcpu=@var{cpu-type}
1404 -mtune=@var{cpu-type}
1405 -mcmodel=@var{code-model}
1406 -mmemory-model=@var{mem-model}
1407 -m32 -m64 -mapp-regs -mno-app-regs
1408 -mfaster-structs -mno-faster-structs -mflat -mno-flat
1409 -mfpu -mno-fpu -mhard-float -msoft-float
1410 -mhard-quad-float -msoft-quad-float
1411 -mstack-bias -mno-stack-bias
1412 -mstd-struct-return -mno-std-struct-return
1413 -munaligned-doubles -mno-unaligned-doubles
1414 -muser-mode -mno-user-mode
1415 -mv8plus -mno-v8plus -mvis -mno-vis
1416 -mvis2 -mno-vis2 -mvis3 -mno-vis3
1417 -mvis4 -mno-vis4 -mvis4b -mno-vis4b
1418 -mcbcond -mno-cbcond -mfmaf -mno-fmaf -mfsmuld -mno-fsmuld
1419 -mpopc -mno-popc -msubxc -mno-subxc
1420 -mfix-at697f -mfix-ut699 -mfix-ut700 -mfix-gr712rc}
1422 @emph{System V Options}
1423 @gccoptlist{-Qy -Qn -YP,@var{paths} -Ym,@var{dir}}
1426 @gccoptlist{-mlong-calls -mno-long-calls -mep -mno-ep
1427 -mprolog-function -mno-prolog-function -mspace
1428 -mtda=@var{n} -msda=@var{n} -mzda=@var{n}
1429 -mapp-regs -mno-app-regs
1430 -mdisable-callt -mno-disable-callt
1431 -mv850e2v3 -mv850e2 -mv850e1 -mv850es
1432 -mv850e -mv850 -mv850e3v5
1443 @gccoptlist{-munix -mgnu -md -md-float -mg -mg-float -mlra}
1445 @emph{Visium Options}
1446 @gccoptlist{-mdebug -msim -mfpu -mno-fpu -mhard-float -msoft-float
1447 -mcpu=@var{cpu-type} -mtune=@var{cpu-type} -msv-mode -muser-mode}
1450 @gccoptlist{-mvms-return-codes -mdebug-main=@var{prefix} -mmalloc64
1451 -mpointer-size=@var{size}}
1453 @emph{VxWorks Options}
1454 @gccoptlist{-mrtp -msmp -non-static -Bstatic -Bdynamic
1455 -Xbind-lazy -Xbind-now}
1458 @gccoptlist{-mtune=@var{cpu-type} -march=@var{cpu-type}
1459 -mtune-ctrl=@var{feature-list} -mdump-tune-features -mno-default
1461 -masm=@var{dialect} -mno-fancy-math-387
1462 -mno-fp-ret-in-387 -m80387 -mhard-float -msoft-float
1463 -mno-wide-multiply -mrtd -malign-double
1464 -mpreferred-stack-boundary=@var{num}
1465 -mincoming-stack-boundary=@var{num}
1466 -mcld -mcx16 -msahf -mmovbe -mcrc32 -mmwait
1467 -mrecip -mrecip=@var{opt}
1468 -mvzeroupper -mprefer-avx128 -mprefer-vector-width=@var{opt}
1469 -mpartial-vector-fp-math
1470 -mmove-max=@var{bits} -mstore-max=@var{bits}
1471 -mnoreturn-no-callee-saved-registers
1472 -mmmx -msse -msse2 -msse3 -mssse3 -msse4.1 -msse4.2 -msse4 -mavx
1473 -mavx2 -mavx512f -mavx512cd -mavx512vl
1474 -mavx512bw -mavx512dq -mavx512ifma -mavx512vbmi -msha -maes
1475 -mpclmul -mfsgsbase -mrdrnd -mf16c -mfma -mpconfig -mwbnoinvd
1476 -mptwrite -mclflushopt -mclwb -mxsavec -mxsaves
1477 -msse4a -m3dnow -m3dnowa -mpopcnt -mabm -mbmi -mtbm -mfma4 -mxop
1478 -madx -mlzcnt -mbmi2 -mfxsr -mxsave -mxsaveopt -mrtm -mhle -mlwp
1479 -mmwaitx -mclzero -mpku -mthreads -mgfni -mvaes -mwaitpkg
1480 -mshstk -mmanual-endbr -mcet-switch -mforce-indirect-call
1481 -mavx512vbmi2 -mavx512bf16 -menqcmd
1482 -mvpclmulqdq -mavx512bitalg -mmovdiri -mmovdir64b -mavx512vpopcntdq
1483 -mavx512vnni -mprfchw -mrdpid
1484 -mrdseed -msgx -mavx512vp2intersect -mserialize -mtsxldtrk
1485 -mamx-tile -mamx-int8 -mamx-bf16 -muintr -mhreset -mavxvnni -mamx-fp8
1486 -mavx512fp16 -mavxifma -mavxvnniint8 -mavxneconvert -mcmpccxadd -mamx-fp16
1487 -mprefetchi -mraoint -mamx-complex -mavxvnniint16 -msm3 -msha512 -msm4 -mapxf
1488 -musermsr -mavx10.1 -mavx10.1-256 -mavx10.1-512 -mevex512 -mavx10.2 -mavx10.2-256
1489 -mavx10.2-512 -mamx-avx512 -mamx-tf32 -mamx-transpose -mmovrs -mamx-movrs
1490 -mcldemote -mms-bitfields -mno-align-stringops -minline-all-stringops
1491 -minline-stringops-dynamically -mstringop-strategy=@var{alg}
1493 -mmemcpy-strategy=@var{strategy} -mmemset-strategy=@var{strategy}
1494 -mpush-args -maccumulate-outgoing-args -m128bit-long-double
1495 -m96bit-long-double -mlong-double-64 -mlong-double-80 -mlong-double-128
1496 -mregparm=@var{num} -msseregparm
1497 -mveclibabi=@var{type} -mvect8-ret-in-mem
1498 -mpc32 -mpc64 -mpc80 -mdaz-ftz -mstackrealign
1499 -momit-leaf-frame-pointer -mno-red-zone -mno-tls-direct-seg-refs
1500 -mcmodel=@var{code-model} -mabi=@var{name} -maddress-mode=@var{mode}
1501 -m32 -m64 -mx32 -m16 -miamcu -mlarge-data-threshold=@var{num}
1502 -msse2avx -mfentry -mrecord-mcount -mnop-mcount -m8bit-idiv
1503 -minstrument-return=@var{type} -mfentry-name=@var{name} -mfentry-section=@var{name}
1504 -mavx256-split-unaligned-load -mavx256-split-unaligned-store
1505 -malign-data=@var{type} -mstack-protector-guard=@var{guard}
1506 -mstack-protector-guard-reg=@var{reg}
1507 -mstack-protector-guard-offset=@var{offset}
1508 -mstack-protector-guard-symbol=@var{symbol}
1509 -mgeneral-regs-only -mcall-ms2sysv-xlogues -mrelax-cmpxchg-loop
1510 -mindirect-branch=@var{choice} -mfunction-return=@var{choice}
1511 -mindirect-branch-register -mharden-sls=@var{choice}
1512 -mindirect-branch-cs-prefix -mneeded -mno-direct-extern-access
1513 -munroll-only-small-loops -mlam=@var{choice}}
1515 @emph{x86 Windows Options}
1517 @emph{Cygwin and MinGW Options}
1518 @gccoptlist{-mconsole -mcrtdll=@var{library} -mdll
1519 -mnop-fun-dllimport -mthread
1520 -municode -mwin32 -mwindows -fno-set-stack-executable}
1522 @emph{Xstormy16 Options}
1525 @emph{Xtensa Options}
1526 @gccoptlist{-mconst16 -mno-const16
1527 -mfused-madd -mno-fused-madd
1529 -mserialize-volatile -mno-serialize-volatile
1530 -mtext-section-literals -mno-text-section-literals
1531 -mauto-litpools -mno-auto-litpools
1532 -mtarget-align -mno-target-align
1533 -mlongcalls -mno-longcalls
1534 -mabi=@var{abi-type}
1535 -mextra-l32r-costs=@var{cycles}
1536 -mstrict-align -mno-strict-align}
1538 @emph{zSeries Options}
1539 See S/390 and zSeries Options.
1543 @node Overall Options
1544 @section Options Controlling the Kind of Output
1546 Compilation can involve up to four stages: preprocessing, compilation
1547 proper, assembly and linking, always in that order. GCC is capable of
1548 preprocessing and compiling several files either into several
1549 assembler input files, or into one assembler input file; then each
1550 assembler input file produces an object file, and linking combines all
1551 the object files (those newly compiled, and those specified as input)
1552 into an executable file.
1554 @cindex file name suffix
1555 For any given input file, the file name suffix determines what kind of
1556 compilation is done:
1560 C source code that must be preprocessed.
1563 C source code that should not be preprocessed.
1566 C++ source code that should not be preprocessed.
1569 Objective-C source code. Note that you must link with the @file{libobjc}
1570 library to make an Objective-C program work.
1573 Objective-C source code that should not be preprocessed.
1577 Objective-C++ source code. Note that you must link with the @file{libobjc}
1578 library to make an Objective-C++ program work. Note that @samp{.M} refers
1579 to a literal capital M@.
1581 @item @var{file}.mii
1582 Objective-C++ source code that should not be preprocessed.
1585 C, C++, Objective-C or Objective-C++ header file to be turned into a
1586 precompiled header (default), or C, C++ header file to be turned into an
1587 Ada spec (via the @option{-fdump-ada-spec} switch).
1590 @itemx @var{file}.cp
1591 @itemx @var{file}.cxx
1592 @itemx @var{file}.cpp
1593 @itemx @var{file}.CPP
1594 @itemx @var{file}.c++
1596 C++ source code that must be preprocessed. Note that in @samp{.cxx},
1597 the last two letters must both be literally @samp{x}. Likewise,
1598 @samp{.C} refers to a literal capital C@.
1602 Objective-C++ source code that must be preprocessed.
1604 @item @var{file}.mii
1605 Objective-C++ source code that should not be preprocessed.
1609 @itemx @var{file}.hp
1610 @itemx @var{file}.hxx
1611 @itemx @var{file}.hpp
1612 @itemx @var{file}.HPP
1613 @itemx @var{file}.h++
1614 @itemx @var{file}.tcc
1615 C++ header file to be turned into a precompiled header or Ada spec.
1618 @itemx @var{file}.for
1619 @itemx @var{file}.ftn
1620 @itemx @var{file}.fi
1621 Fixed form Fortran source code that should not be preprocessed.
1624 @itemx @var{file}.FOR
1625 @itemx @var{file}.fpp
1626 @itemx @var{file}.FPP
1627 @itemx @var{file}.FTN
1628 Fixed form Fortran source code that must be preprocessed (with the traditional
1631 @item @var{file}.f90
1632 @itemx @var{file}.f95
1633 @itemx @var{file}.f03
1634 @itemx @var{file}.f08
1635 @itemx @var{file}.fii
1636 Free form Fortran source code that should not be preprocessed.
1638 @item @var{file}.F90
1639 @itemx @var{file}.F95
1640 @itemx @var{file}.F03
1641 @itemx @var{file}.F08
1642 Free form Fortran source code that must be preprocessed (with the
1643 traditional preprocessor).
1655 D documentation code (Ddoc).
1657 @item @var{file}.ads
1658 Ada source code file that contains a library unit declaration (a
1659 declaration of a package, subprogram, or generic, or a generic
1660 instantiation), or a library unit renaming declaration (a package,
1661 generic, or subprogram renaming declaration). Such files are also
1664 @item @var{file}.adb
1665 Ada source code file containing a library unit body (a subprogram or
1666 package body). Such files are also called @dfn{bodies}.
1668 @c GCC also knows about some suffixes for languages not yet included:
1676 @itemx @var{file}.sx
1677 Assembler code that must be preprocessed.
1680 An object file to be fed straight into linking.
1681 Any file name with no recognized suffix is treated this way.
1685 You can specify the input language explicitly with the @option{-x} option:
1688 @item -x @var{language}
1689 Specify explicitly the @var{language} for the following input files
1690 (rather than letting the compiler choose a default based on the file
1691 name suffix). This option applies to all following input files until
1692 the next @option{-x} option. Possible values for @var{language} are:
1694 c c-header cpp-output
1695 c++ c++-header c++-system-header c++-user-header c++-cpp-output
1696 objective-c objective-c-header objective-c-cpp-output
1697 objective-c++ objective-c++-header objective-c++-cpp-output
1698 assembler assembler-with-cpp
1701 f77 f77-cpp-input f95 f95-cpp-input
1706 Turn off any specification of a language, so that subsequent files are
1707 handled according to their file name suffixes (as they are if @option{-x}
1708 has not been used at all).
1711 If you only want some of the stages of compilation, you can use
1712 @option{-x} (or filename suffixes) to tell @command{gcc} where to start, and
1713 one of the options @option{-c}, @option{-S}, or @option{-E} to say where
1714 @command{gcc} is to stop. Note that some combinations (for example,
1715 @samp{-x cpp-output -E}) instruct @command{gcc} to do nothing at all.
1720 Compile or assemble the source files, but do not link. The linking
1721 stage simply is not done. The ultimate output is in the form of an
1722 object file for each source file.
1724 By default, the object file name for a source file is made by replacing
1725 the suffix @samp{.c}, @samp{.i}, @samp{.s}, etc., with @samp{.o}.
1727 Unrecognized input files, not requiring compilation or assembly, are
1732 Stop after the stage of compilation proper; do not assemble. The output
1733 is in the form of an assembler code file for each non-assembler input
1736 By default, the assembler file name for a source file is made by
1737 replacing the suffix @samp{.c}, @samp{.i}, etc., with @samp{.s}.
1739 Input files that don't require compilation are ignored.
1743 Stop after the preprocessing stage; do not run the compiler proper. The
1744 output is in the form of preprocessed source code, which is sent to the
1747 Input files that don't require preprocessing are ignored.
1749 @cindex output file option
1752 Place the primary output in file @var{file}. This applies to whatever
1753 sort of output is being produced, whether it be an executable file, an
1754 object file, an assembler file or preprocessed C code.
1756 If @option{-o} is not specified, the default is to put an executable
1757 file in @file{a.out}, the object file for
1758 @file{@var{source}.@var{suffix}} in @file{@var{source}.o}, its
1759 assembler file in @file{@var{source}.s}, a precompiled header file in
1760 @file{@var{source}.@var{suffix}.gch}, and all preprocessed C source on
1763 Though @option{-o} names only the primary output, it also affects the
1764 naming of auxiliary and dump outputs. See the examples below. Unless
1765 overridden, both auxiliary outputs and dump outputs are placed in the
1766 same directory as the primary output. In auxiliary outputs, the suffix
1767 of the input file is replaced with that of the auxiliary output file
1768 type; in dump outputs, the suffix of the dump file is appended to the
1769 input file suffix. In compilation commands, the base name of both
1770 auxiliary and dump outputs is that of the primary output; in compile and
1771 link commands, the primary output name, minus the executable suffix, is
1772 combined with the input file name. If both share the same base name,
1773 disregarding the suffix, the result of the combination is that base
1774 name, otherwise, they are concatenated, separated by a dash.
1780 will use @file{foo.o} as the primary output, and place aux outputs and
1781 dumps next to it, e.g., aux file @file{foo.dwo} for
1782 @option{-gsplit-dwarf}, and dump file @file{foo.c.???r.final} for
1783 @option{-fdump-rtl-final}.
1785 If a non-linker output file is explicitly specified, aux and dump files
1786 by default take the same base name:
1789 gcc -c foo.c -o dir/foobar.o ...
1792 will name aux outputs @file{dir/foobar.*} and dump outputs
1793 @file{dir/foobar.c.*}.
1795 A linker output will instead prefix aux and dump outputs:
1798 gcc foo.c bar.c -o dir/foobar ...
1801 will generally name aux outputs @file{dir/foobar-foo.*} and
1802 @file{dir/foobar-bar.*}, and dump outputs @file{dir/foobar-foo.c.*} and
1803 @file{dir/foobar-bar.c.*}.
1805 The one exception to the above is when the executable shares the base
1806 name with the single input:
1809 gcc foo.c -o dir/foo ...
1812 in which case aux outputs are named @file{dir/foo.*} and dump outputs
1813 named @file{dir/foo.c.*}.
1815 The location and the names of auxiliary and dump outputs can be adjusted
1816 by the options @option{-dumpbase}, @option{-dumpbase-ext},
1817 @option{-dumpdir}, @option{-save-temps=cwd}, and
1818 @option{-save-temps=obj}.
1822 @item -dumpbase @var{dumpbase}
1823 This option sets the base name for auxiliary and dump output files. It
1824 does not affect the name of the primary output file. Intermediate
1825 outputs, when preserved, are not regarded as primary outputs, but as
1829 gcc -save-temps -S foo.c
1832 saves the (no longer) temporary preprocessed file in @file{foo.i}, and
1833 then compiles to the (implied) output file @file{foo.s}, whereas:
1836 gcc -save-temps -dumpbase save-foo -c foo.c
1839 preprocesses to in @file{save-foo.i}, compiles to @file{save-foo.s} (now
1840 an intermediate, thus auxiliary output), and then assembles to the
1841 (implied) output file @file{foo.o}.
1843 Absent this option, dump and aux files take their names from the input
1844 file, or from the (non-linker) output file, if one is explicitly
1845 specified: dump output files (e.g. those requested by @option{-fdump-*}
1846 options) with the input name suffix, and aux output files (those
1847 requested by other non-dump options, e.g. @code{-save-temps},
1848 @code{-gsplit-dwarf}, @code{-fcallgraph-info}) without it.
1850 Similar suffix differentiation of dump and aux outputs can be attained
1851 for explicitly-given @option{-dumpbase basename.suf} by also specifying
1852 @option{-dumpbase-ext .suf}.
1854 If @var{dumpbase} is explicitly specified with any directory component,
1855 any @var{dumppfx} specification (e.g. @option{-dumpdir} or
1856 @option{-save-temps=*}) is ignored, and instead of appending to it,
1857 @var{dumpbase} fully overrides it:
1860 gcc foo.c -c -o dir/foo.o -dumpbase alt/foo \
1861 -dumpdir pfx- -save-temps=cwd ...
1864 creates auxiliary and dump outputs named @file{alt/foo.*}, disregarding
1865 @file{dir/} in @option{-o}, the @file{./} prefix implied by
1866 @option{-save-temps=cwd}, and @file{pfx-} in @option{-dumpdir}.
1868 When @option{-dumpbase} is specified in a command that compiles multiple
1869 inputs, or that compiles and then links, it may be combined with
1870 @var{dumppfx}, as specified under @option{-dumpdir}. Then, each input
1871 file is compiled using the combined @var{dumppfx}, and default values
1872 for @var{dumpbase} and @var{auxdropsuf} are computed for each input
1876 gcc foo.c bar.c -c -dumpbase main ...
1879 creates @file{foo.o} and @file{bar.o} as primary outputs, and avoids
1880 overwriting the auxiliary and dump outputs by using the @var{dumpbase}
1881 as a prefix, creating auxiliary and dump outputs named @file{main-foo.*}
1882 and @file{main-bar.*}.
1884 An empty string specified as @var{dumpbase} avoids the influence of the
1885 output basename in the naming of auxiliary and dump outputs during
1886 compilation, computing default values :
1889 gcc -c foo.c -o dir/foobar.o -dumpbase '' ...
1892 will name aux outputs @file{dir/foo.*} and dump outputs
1893 @file{dir/foo.c.*}. Note how their basenames are taken from the input
1894 name, but the directory still defaults to that of the output.
1896 The empty-string dumpbase does not prevent the use of the output
1897 basename for outputs during linking:
1900 gcc foo.c bar.c -o dir/foobar -dumpbase '' -flto ...
1903 The compilation of the source files will name auxiliary outputs
1904 @file{dir/foo.*} and @file{dir/bar.*}, and dump outputs
1905 @file{dir/foo.c.*} and @file{dir/bar.c.*}. LTO recompilation during
1906 linking will use @file{dir/foobar.} as the prefix for dumps and
1910 @opindex dumpbase-ext
1911 @item -dumpbase-ext @var{auxdropsuf}
1912 When forming the name of an auxiliary (but not a dump) output file, drop
1913 trailing @var{auxdropsuf} from @var{dumpbase} before appending any
1914 suffixes. If not specified, this option defaults to the suffix of a
1915 default @var{dumpbase}, i.e., the suffix of the input file when
1916 @option{-dumpbase} is not present in the command line, or @var{dumpbase}
1917 is combined with @var{dumppfx}.
1920 gcc foo.c -c -o dir/foo.o -dumpbase x-foo.c -dumpbase-ext .c ...
1923 creates @file{dir/foo.o} as the main output, and generates auxiliary
1924 outputs in @file{dir/x-foo.*}, taking the location of the primary
1925 output, and dropping the @file{.c} suffix from the @var{dumpbase}. Dump
1926 outputs retain the suffix: @file{dir/x-foo.c.*}.
1928 This option is disregarded if it does not match the suffix of a
1929 specified @var{dumpbase}, except as an alternative to the executable
1930 suffix when appending the linker output base name to @var{dumppfx}, as
1934 gcc foo.c bar.c -o main.out -dumpbase-ext .out ...
1937 creates @file{main.out} as the primary output, and avoids overwriting
1938 the auxiliary and dump outputs by using the executable name minus
1939 @var{auxdropsuf} as a prefix, creating auxiliary outputs named
1940 @file{main-foo.*} and @file{main-bar.*} and dump outputs named
1941 @file{main-foo.c.*} and @file{main-bar.c.*}.
1945 @item -dumpdir @var{dumppfx}
1946 When forming the name of an auxiliary or dump output file, use
1947 @var{dumppfx} as a prefix:
1950 gcc -dumpdir pfx- -c foo.c ...
1953 creates @file{foo.o} as the primary output, and auxiliary outputs named
1954 @file{pfx-foo.*}, combining the given @var{dumppfx} with the default
1955 @var{dumpbase} derived from the default primary output, derived in turn
1956 from the input name. Dump outputs also take the input name suffix:
1959 If @var{dumppfx} is to be used as a directory name, it must end with a
1960 directory separator:
1963 gcc -dumpdir dir/ -c foo.c -o obj/bar.o ...
1966 creates @file{obj/bar.o} as the primary output, and auxiliary outputs
1967 named @file{dir/bar.*}, combining the given @var{dumppfx} with the
1968 default @var{dumpbase} derived from the primary output name. Dump
1969 outputs also take the input name suffix: @file{dir/bar.c.*}.
1971 It defaults to the location of the output file, unless the output
1972 file is a special file like @code{/dev/null}. Options
1973 @option{-save-temps=cwd} and @option{-save-temps=obj} override this
1974 default, just like an explicit @option{-dumpdir} option. In case
1975 multiple such options are given, the last one prevails:
1978 gcc -dumpdir pfx- -c foo.c -save-temps=obj ...
1981 outputs @file{foo.o}, with auxiliary outputs named @file{foo.*} because
1982 @option{-save-temps=*} overrides the @var{dumppfx} given by the earlier
1983 @option{-dumpdir} option. It does not matter that @option{=obj} is the
1984 default for @option{-save-temps}, nor that the output directory is
1985 implicitly the current directory. Dump outputs are named
1988 When compiling from multiple input files, if @option{-dumpbase} is
1989 specified, @var{dumpbase}, minus a @var{auxdropsuf} suffix, and a dash
1990 are appended to (or override, if containing any directory components) an
1991 explicit or defaulted @var{dumppfx}, so that each of the multiple
1992 compilations gets differently-named aux and dump outputs.
1995 gcc foo.c bar.c -c -dumpdir dir/pfx- -dumpbase main ...
1998 outputs auxiliary dumps to @file{dir/pfx-main-foo.*} and
1999 @file{dir/pfx-main-bar.*}, appending @var{dumpbase}- to @var{dumppfx}.
2000 Dump outputs retain the input file suffix: @file{dir/pfx-main-foo.c.*}
2001 and @file{dir/pfx-main-bar.c.*}, respectively. Contrast with the
2002 single-input compilation:
2005 gcc foo.c -c -dumpdir dir/pfx- -dumpbase main ...
2008 that, applying @option{-dumpbase} to a single source, does not compute
2009 and append a separate @var{dumpbase} per input file. Its auxiliary and
2010 dump outputs go in @file{dir/pfx-main.*}.
2012 When compiling and then linking from multiple input files, a defaulted
2013 or explicitly specified @var{dumppfx} also undergoes the @var{dumpbase}-
2014 transformation above (e.g. the compilation of @file{foo.c} and
2015 @file{bar.c} above, but without @option{-c}). If neither
2016 @option{-dumpdir} nor @option{-dumpbase} are given, the linker output
2017 base name, minus @var{auxdropsuf}, if specified, or the executable
2018 suffix otherwise, plus a dash is appended to the default @var{dumppfx}
2019 instead. Note, however, that unlike earlier cases of linking:
2022 gcc foo.c bar.c -dumpdir dir/pfx- -o main ...
2025 does not append the output name @file{main} to @var{dumppfx}, because
2026 @option{-dumpdir} is explicitly specified. The goal is that the
2027 explicitly-specified @var{dumppfx} may contain the specified output name
2028 as part of the prefix, if desired; only an explicitly-specified
2029 @option{-dumpbase} would be combined with it, in order to avoid simply
2030 discarding a meaningful option.
2032 When compiling and then linking from a single input file, the linker
2033 output base name will only be appended to the default @var{dumppfx} as
2034 above if it does not share the base name with the single input file
2035 name. This has been covered in single-input linking cases above, but
2036 not with an explicit @option{-dumpdir} that inhibits the combination,
2037 even if overridden by @option{-save-temps=*}:
2040 gcc foo.c -dumpdir alt/pfx- -o dir/main.exe -save-temps=cwd ...
2043 Auxiliary outputs are named @file{foo.*}, and dump outputs
2044 @file{foo.c.*}, in the current working directory as ultimately requested
2045 by @option{-save-temps=cwd}.
2047 Summing it all up for an intuitive though slightly imprecise data flow:
2048 the primary output name is broken into a directory part and a basename
2049 part; @var{dumppfx} is set to the former, unless overridden by
2050 @option{-dumpdir} or @option{-save-temps=*}, and @var{dumpbase} is set
2051 to the latter, unless overriden by @option{-dumpbase}. If there are
2052 multiple inputs or linking, this @var{dumpbase} may be combined with
2053 @var{dumppfx} and taken from each input file. Auxiliary output names
2054 for each input are formed by combining @var{dumppfx}, @var{dumpbase}
2055 minus suffix, and the auxiliary output suffix; dump output names are
2056 only different in that the suffix from @var{dumpbase} is retained.
2058 When it comes to auxiliary and dump outputs created during LTO
2059 recompilation, a combination of @var{dumppfx} and @var{dumpbase}, as
2060 given or as derived from the linker output name but not from inputs,
2061 even in cases in which this combination would not otherwise be used as
2062 such, is passed down with a trailing period replacing the compiler-added
2063 dash, if any, as a @option{-dumpdir} option to @command{lto-wrapper};
2064 being involved in linking, this program does not normally get any
2065 @option{-dumpbase} and @option{-dumpbase-ext}, and it ignores them.
2067 When running sub-compilers, @command{lto-wrapper} appends LTO stage
2068 names to the received @var{dumppfx}, ensures it contains a directory
2069 component so that it overrides any @option{-dumpdir}, and passes that as
2070 @option{-dumpbase} to sub-compilers.
2074 Print (on standard error output) the commands executed to run the stages
2075 of compilation. Also print the version number of the compiler driver
2076 program and of the preprocessor and the compiler proper.
2080 Like @option{-v} except the commands are not executed and arguments
2081 are quoted unless they contain only alphanumeric characters or @code{./-_}.
2082 This is useful for shell scripts to capture the driver-generated command lines.
2086 Print (on the standard output) a description of the command-line options
2087 understood by @command{gcc}. If the @option{-v} option is also specified
2088 then @option{--help} is also passed on to the various processes
2089 invoked by @command{gcc}, so that they can display the command-line options
2090 they accept. If the @option{-Wextra} option has also been specified
2091 (prior to the @option{--help} option), then command-line options that
2092 have no documentation associated with them are also displayed.
2094 @opindex target-help
2096 Print (on the standard output) a description of target-specific command-line
2097 options for each tool. For some targets extra target-specific
2098 information may also be printed.
2100 @item --help=@{@var{class}@r{|[}^@r{]}@var{qualifier}@}@r{[},@dots{}@r{]}
2101 Print (on the standard output) a description of the command-line
2102 options understood by the compiler that fit into all specified classes
2103 and qualifiers. These are the supported classes:
2106 @item @samp{optimizers}
2107 Display all of the optimization options supported by the
2110 @item @samp{warnings}
2111 Display all of the options controlling warning messages
2112 produced by the compiler.
2115 Display target-specific options. Unlike the
2116 @option{--target-help} option however, target-specific options of the
2117 linker and assembler are not displayed. This is because those
2118 tools do not currently support the extended @option{--help=} syntax.
2121 Display the values recognized by the @option{--param}
2124 @item @var{language}
2125 Display the options supported for @var{language}, where
2126 @var{language} is the name of one of the languages supported in this
2127 version of GCC@. If an option is supported by all languages, one needs
2128 to select @samp{common} class.
2131 Display the options that are common to all languages.
2134 These are the supported qualifiers:
2137 @item @samp{undocumented}
2138 Display only those options that are undocumented.
2141 Display options taking an argument that appears after an equal
2142 sign in the same continuous piece of text, such as:
2143 @samp{--help=target}.
2145 @item @samp{separate}
2146 Display options taking an argument that appears as a separate word
2147 following the original option, such as: @samp{-o output-file}.
2150 Thus for example to display all the undocumented target-specific
2151 switches supported by the compiler, use:
2154 --help=target,undocumented
2157 The sense of a qualifier can be inverted by prefixing it with the
2158 @samp{^} character, so for example to display all binary warning
2159 options (i.e., ones that are either on or off and that do not take an
2160 argument) that have a description, use:
2163 --help=warnings,^joined,^undocumented
2166 The argument to @option{--help=} should not consist solely of inverted
2169 Combining several classes is possible, although this usually
2170 restricts the output so much that there is nothing to display. One
2171 case where it does work, however, is when one of the classes is
2172 @var{target}. For example, to display all the target-specific
2173 optimization options, use:
2176 --help=target,optimizers
2179 The @option{--help=} option can be repeated on the command line. Each
2180 successive use displays its requested class of options, skipping
2181 those that have already been displayed. If @option{--help} is also
2182 specified anywhere on the command line then this takes precedence
2183 over any @option{--help=} option.
2185 If the @option{-Q} option appears on the command line before the
2186 @option{--help=} option, then the descriptive text displayed by
2187 @option{--help=} is changed. Instead of describing the displayed
2188 options, an indication is given as to whether the option is enabled,
2189 disabled or set to a specific value (assuming that the compiler
2190 knows this at the point where the @option{--help=} option is used).
2192 Here is a truncated example from the ARM port of @command{gcc}:
2195 % gcc -Q -mabi=2 --help=target -c
2196 The following options are target specific:
2198 -mabort-on-noreturn [disabled]
2202 The output is sensitive to the effects of previous command-line
2203 options, so for example it is possible to find out which optimizations
2204 are enabled at @option{-O2} by using:
2207 -Q -O2 --help=optimizers
2210 Alternatively you can discover which binary optimizations are enabled
2211 by @option{-O3} by using:
2214 gcc -c -Q -O3 --help=optimizers > /tmp/O3-opts
2215 gcc -c -Q -O2 --help=optimizers > /tmp/O2-opts
2216 diff /tmp/O2-opts /tmp/O3-opts | grep enabled
2221 Display the version number and copyrights of the invoked GCC@.
2223 @opindex pass-exit-codes
2224 @item -pass-exit-codes
2225 Normally the @command{gcc} program exits with the code of 1 if any
2226 phase of the compiler returns a non-success return code. If you specify
2227 @option{-pass-exit-codes}, the @command{gcc} program instead returns with
2228 the numerically highest error produced by any phase returning an error
2229 indication. The C, C++, and Fortran front ends return 4 if an internal
2230 compiler error is encountered.
2234 Use pipes rather than temporary files for communication between the
2235 various stages of compilation. This fails to work on some systems where
2236 the assembler is unable to read from a pipe; but the GNU assembler has
2240 @item -specs=@var{file}
2241 Process @var{file} after the compiler reads in the standard @file{specs}
2242 file, in order to override the defaults which the @command{gcc} driver
2243 program uses when determining what switches to pass to @command{cc1},
2244 @command{cc1plus}, @command{as}, @command{ld}, etc. More than one
2245 @option{-specs=@var{file}} can be specified on the command line, and they
2246 are processed in order, from left to right. @xref{Spec Files}, for
2247 information about the format of the @var{file}.
2251 Invoke all subcommands under a wrapper program. The name of the
2252 wrapper program and its parameters are passed as a comma separated
2256 gcc -c t.c -wrapper gdb,--args
2260 This invokes all subprograms of @command{gcc} under
2261 @samp{gdb --args}, thus the invocation of @command{cc1} is
2262 @samp{gdb --args cc1 @dots{}}.
2264 @opindex ffile-prefix-map
2265 @item -ffile-prefix-map=@var{old}=@var{new}
2266 When compiling files residing in directory @file{@var{old}}, record
2267 any references to them in the result of the compilation as if the
2268 files resided in directory @file{@var{new}} instead. Specifying this
2269 option is equivalent to specifying all the individual
2270 @option{-f*-prefix-map} options. This can be used to make reproducible
2271 builds that are location independent. Directories referenced by
2272 directives are not affected by these options. See also
2273 @option{-fmacro-prefix-map}, @option{-fdebug-prefix-map},
2274 @option{-fprofile-prefix-map} and @option{-fcanon-prefix-map}.
2276 @opindex fcanon-prefix-map
2277 @item -fcanon-prefix-map
2278 For the @option{-f*-prefix-map} options normally comparison
2279 of @file{@var{old}} prefix against the filename that would be normally
2280 referenced in the result of the compilation is done using textual
2281 comparison of the prefixes, or ignoring character case for case insensitive
2282 filesystems and considering slashes and backslashes as equal on DOS based
2283 filesystems. The @option{-fcanon-prefix-map} causes such comparisons
2284 to be done on canonicalized paths of @file{@var{old}}
2285 and the referenced filename.
2288 @item -fplugin=@var{name}.so
2289 Load the plugin code in file @var{name}.so, assumed to be a
2290 shared object to be dlopen'd by the compiler. The base name of
2291 the shared object file is used to identify the plugin for the
2292 purposes of argument parsing (See
2293 @option{-fplugin-arg-@var{name}-@var{key}=@var{value}} below).
2294 Each plugin should define the callback functions specified in the
2297 @opindex fplugin-arg
2298 @item -fplugin-arg-@var{name}-@var{key}=@var{value}
2299 Define an argument called @var{key} with a value of @var{value}
2300 for the plugin called @var{name}.
2302 @opindex fdump-ada-spec
2303 @item -fdump-ada-spec@r{[}-slim@r{]}
2304 For C and C++ source and include files, generate corresponding Ada specs.
2305 @xref{Generating Ada Bindings for C and C++ headers,,, gnat_ugn,
2306 GNAT User's Guide}, which provides detailed documentation on this feature.
2308 @opindex fada-spec-parent
2309 @item -fada-spec-parent=@var{unit}
2310 In conjunction with @option{-fdump-ada-spec@r{[}-slim@r{]}} above, generate
2311 Ada specs as child units of parent @var{unit}.
2313 @opindex fdump-go-spec
2314 @item -fdump-go-spec=@var{file}
2315 For input files in any language, generate corresponding Go
2316 declarations in @var{file}. This generates Go @code{const},
2317 @code{type}, @code{var}, and @code{func} declarations which may be a
2318 useful way to start writing a Go interface to code written in some
2321 @include @value{srcdir}/../libiberty/at-file.texi
2325 @section Compiling C++ Programs
2327 @cindex suffixes for C++ source
2328 @cindex C++ source file suffixes
2329 C++ source files conventionally use one of the suffixes @samp{.C},
2330 @samp{.cc}, @samp{.cpp}, @samp{.CPP}, @samp{.c++}, @samp{.cp}, or
2331 @samp{.cxx}; C++ header files often use @samp{.hh}, @samp{.hpp},
2332 @samp{.H}, or (for shared template code) @samp{.tcc};
2333 preprocessed C++ files use the suffix @samp{.ii}; and C++20 module interface
2334 units sometimes use @samp{.ixx}, @samp{.cppm}, @samp{.cxxm}, @samp{.c++m},
2337 GCC recognizes files with these names and compiles them as C++ programs even if you
2338 call the compiler the same way as for compiling C programs (usually
2339 with the name @command{gcc}).
2343 However, the use of @command{gcc} does not add the C++ library.
2344 @command{g++} is a program that calls GCC and automatically specifies linking
2345 against the C++ library. It treats @samp{.c},
2346 @samp{.h} and @samp{.i} files as C++ source files instead of C source
2347 files unless @option{-x} is used. This program is also useful when
2348 precompiling a C header file with a @samp{.h} extension for use in C++
2349 compilations. On many systems, @command{g++} is also installed with
2350 the name @command{c++}.
2352 @cindex invoking @command{g++}
2353 When you compile C++ programs, you may specify many of the same
2354 command-line options that you use for compiling programs in any
2355 language; or command-line options meaningful for C and related
2356 languages; or options that are meaningful only for C++ programs.
2357 @xref{C Dialect Options,,Options Controlling C Dialect}, for
2358 explanations of options for languages related to C@.
2359 @xref{C++ Dialect Options,,Options Controlling C++ Dialect}, for
2360 explanations of options that are meaningful only for C++ programs.
2362 @node C Dialect Options
2363 @section Options Controlling C Dialect
2364 @cindex dialect options
2365 @cindex language dialect options
2366 @cindex options, dialect
2368 The following options control the dialect of C (or languages derived
2369 from C, such as C++, Objective-C and Objective-C++) that the compiler
2373 @cindex ANSI support
2377 In C mode, this is equivalent to @option{-std=c90}. In C++ mode, it is
2378 equivalent to @option{-std=c++98}.
2380 This turns off certain features of GCC that are incompatible with ISO
2381 C90 (when compiling C code), or of standard C++ (when compiling C++ code),
2382 such as the @code{asm} and @code{typeof} keywords, and
2383 predefined macros such as @code{unix} and @code{vax} that identify the
2384 type of system you are using. It also enables the undesirable and
2385 rarely used ISO trigraph feature. For the C compiler,
2386 it disables recognition of C++ style @samp{//} comments as well as
2387 the @code{inline} keyword.
2389 The alternate keywords @code{__asm__}, @code{__extension__},
2390 @code{__inline__} and @code{__typeof__} continue to work despite
2391 @option{-ansi}. You would not want to use them in an ISO C program, of
2392 course, but it is useful to put them in header files that might be included
2393 in compilations done with @option{-ansi}. Alternate predefined macros
2394 such as @code{__unix__} and @code{__vax__} are also available, with or
2395 without @option{-ansi}.
2397 The @option{-ansi} option does not cause non-ISO programs to be
2398 rejected gratuitously. For that, @option{-Wpedantic} is required in
2399 addition to @option{-ansi}. @xref{Warning Options}.
2401 The macro @code{__STRICT_ANSI__} is predefined when the @option{-ansi}
2402 option is used. Some header files may notice this macro and refrain
2403 from declaring certain functions or defining certain macros that the
2404 ISO standard doesn't call for; this is to avoid interfering with any
2405 programs that might use these names for other things.
2407 Functions that are normally built in but do not have semantics
2408 defined by ISO C (such as @code{alloca} and @code{ffs}) are not built-in
2409 functions when @option{-ansi} is used. @xref{Other Builtins,,Other
2410 built-in functions provided by GCC}, for details of the functions
2415 Determine the language standard. @xref{Standards,,Language Standards
2416 Supported by GCC}, for details of these standard versions. This option
2417 is currently only supported when compiling C or C++.
2419 The compiler can accept several base standards, such as @samp{c90} or
2420 @samp{c++98}, and GNU dialects of those standards, such as
2421 @samp{gnu90} or @samp{gnu++98}. When a base standard is specified, the
2422 compiler accepts all programs following that standard plus those
2423 using GNU extensions that do not contradict it. For example,
2424 @option{-std=c90} turns off certain features of GCC that are
2425 incompatible with ISO C90, such as the @code{asm} and @code{typeof}
2426 keywords, but not other GNU extensions that do not have a meaning in
2427 ISO C90, such as omitting the middle term of a @code{?:}
2428 expression. On the other hand, when a GNU dialect of a standard is
2429 specified, all features supported by the compiler are enabled, even when
2430 those features change the meaning of the base standard. As a result, some
2431 strict-conforming programs may be rejected. The particular standard
2432 is used by @option{-Wpedantic} to identify which features are GNU
2433 extensions given that version of the standard. For example
2434 @option{-std=gnu90 -Wpedantic} warns about C++ style @samp{//}
2435 comments, while @option{-std=gnu99 -Wpedantic} does not.
2437 A value for this option must be provided; possible values are
2443 Support all ISO C90 programs (certain GNU extensions that conflict
2444 with ISO C90 are disabled). Same as @option{-ansi} for C code.
2446 @item iso9899:199409
2447 ISO C90 as modified in amendment 1.
2453 ISO C99. This standard is substantially completely supported, modulo
2454 bugs and floating-point issues
2455 (mainly but not entirely relating to optional C99 features from
2456 Annexes F and G). See
2457 @w{@uref{https://gcc.gnu.org/c99status.html}} for more information. The
2458 names @samp{c9x} and @samp{iso9899:199x} are deprecated.
2463 ISO C11, the 2011 revision of the ISO C standard. This standard is
2464 substantially completely supported, modulo bugs, floating-point issues
2465 (mainly but not entirely relating to optional C11 features from
2466 Annexes F and G) and the optional Annexes K (Bounds-checking
2467 interfaces) and L (Analyzability). The name @samp{c1x} is deprecated.
2473 ISO C17, the 2017 revision of the ISO C standard
2474 (published in 2018). This standard is
2475 same as C11 except for corrections of defects (all of which are also
2476 applied with @option{-std=c11}) and a new value of
2477 @code{__STDC_VERSION__}, and so is supported to the same extent as C11.
2482 ISO C23, the 2023 revision of the ISO C standard (expected to be
2483 published in 2024). The name @samp{c2x} is deprecated.
2486 The next version of the ISO C standard, still under development. The
2487 support for this version is experimental and incomplete.
2491 GNU dialect of ISO C90 (including some C99 features).
2495 GNU dialect of ISO C99. The name @samp{gnu9x} is deprecated.
2499 GNU dialect of ISO C11.
2500 The name @samp{gnu1x} is deprecated.
2504 GNU dialect of ISO C17. This is the default for C code.
2508 GNU dialect of ISO C23. The name @samp{gnu2x} is deprecated.
2511 The next version of the ISO C standard, still under development, plus
2512 GNU extensions. The support for this version is experimental and
2513 incomplete. The name @samp{gnu2x} is deprecated.
2517 The 1998 ISO C++ standard plus the 2003 technical corrigendum and some
2518 additional defect reports. Same as @option{-ansi} for C++ code.
2522 GNU dialect of @option{-std=c++98}.
2526 The 2011 ISO C++ standard plus amendments.
2527 The name @samp{c++0x} is deprecated.
2531 GNU dialect of @option{-std=c++11}.
2532 The name @samp{gnu++0x} is deprecated.
2536 The 2014 ISO C++ standard plus amendments.
2537 The name @samp{c++1y} is deprecated.
2541 GNU dialect of @option{-std=c++14}.
2542 The name @samp{gnu++1y} is deprecated.
2546 The 2017 ISO C++ standard plus amendments.
2547 The name @samp{c++1z} is deprecated.
2551 GNU dialect of @option{-std=c++17}.
2552 This is the default for C++ code.
2553 The name @samp{gnu++1z} is deprecated.
2557 The 2020 ISO C++ standard plus amendments.
2558 Support is experimental, and could change in incompatible ways in
2560 The name @samp{c++2a} is deprecated.
2564 GNU dialect of @option{-std=c++20}.
2565 Support is experimental, and could change in incompatible ways in
2567 The name @samp{gnu++2a} is deprecated.
2571 The 2023 ISO C++ standard plus amendments (published in 2024).
2572 Support is experimental, and could change in incompatible ways in
2574 The name @samp{c++2b} is deprecated.
2578 GNU dialect of @option{-std=c++23}.
2579 Support is experimental, and could change in incompatible ways in
2581 The name @samp{gnu++2b} is deprecated.
2585 The next revision of the ISO C++ standard, planned for
2586 2026. Support is highly experimental, and will almost certainly
2587 change in incompatible ways in future releases.
2591 GNU dialect of @option{-std=c++2c}. Support is highly experimental,
2592 and will almost certainly change in incompatible ways in future
2597 @item -aux-info @var{filename}
2598 Output to the given filename prototyped declarations for all functions
2599 declared and/or defined in a translation unit, including those in header
2600 files. This option is silently ignored in any language other than C@.
2602 Besides declarations, the file indicates, in comments, the origin of
2603 each declaration (source file and line), whether the declaration was
2604 implicit, prototyped or unprototyped (@samp{I}, @samp{N} for new or
2605 @samp{O} for old, respectively, in the first character after the line
2606 number and the colon), and whether it came from a declaration or a
2607 definition (@samp{C} or @samp{F}, respectively, in the following
2608 character). In the case of function definitions, a K&R-style list of
2609 arguments followed by their declarations is also provided, inside
2610 comments, after the declaration.
2615 Do not recognize @code{asm}, @code{inline} or @code{typeof} as a
2616 keyword, so that code can use these words as identifiers. You can use
2617 the keywords @code{__asm__}, @code{__inline__} and @code{__typeof__}
2618 instead. In C, @option{-ansi} implies @option{-fno-asm}.
2620 In C++, @code{inline} is a standard keyword and is not affected by
2621 this switch. You may want to use the @option{-fno-gnu-keywords} flag
2622 instead, which disables @code{typeof} but not @code{asm} and
2623 @code{inline}. In C99 mode (@option{-std=c99} or @option{-std=gnu99}),
2624 this switch only affects the @code{asm} and @code{typeof} keywords,
2625 since @code{inline} is a standard keyword in ISO C99. In C23 mode
2626 (@option{-std=c23} or @option{-std=gnu23}), this switch only affects
2627 the @code{asm} keyword, since @code{typeof} is a standard keyword in
2630 @opindex fno-builtin
2632 @cindex built-in functions
2634 @itemx -fno-builtin-@var{function}
2635 Don't recognize built-in functions that do not begin with
2636 @samp{__builtin_} as prefix. @xref{Other Builtins,,Other built-in
2637 functions provided by GCC}, for details of the functions affected,
2638 including those which are not built-in functions when @option{-ansi} or
2639 @option{-std} options for strict ISO C conformance are used because they
2640 do not have an ISO standard meaning.
2642 GCC normally generates special code to handle certain built-in functions
2643 more efficiently; for instance, calls to @code{alloca} may become single
2644 instructions which adjust the stack directly, and calls to @code{memcpy}
2645 may become inline copy loops. The resulting code is often both smaller
2646 and faster, but since the function calls no longer appear as such, you
2647 cannot set a breakpoint on those calls, nor can you change the behavior
2648 of the functions by linking with a different library. In addition,
2649 when a function is recognized as a built-in function, GCC may use
2650 information about that function to warn about problems with calls to
2651 that function, or to generate more efficient code, even if the
2652 resulting code still contains calls to that function. For example,
2653 warnings are given with @option{-Wformat} for bad calls to
2654 @code{printf} when @code{printf} is built in and @code{strlen} is
2655 known not to modify global memory.
2657 With the @option{-fno-builtin-@var{function}} option
2658 only the built-in function @var{function} is
2659 disabled. @var{function} must not begin with @samp{__builtin_}. If a
2660 function is named that is not built-in in this version of GCC, this
2661 option is ignored. There is no corresponding
2662 @option{-fbuiltin-@var{function}} option; if you wish to enable
2663 built-in functions selectively when using @option{-fno-builtin} or
2664 @option{-ffreestanding}, you may define macros such as:
2667 #define abs(n) __builtin_abs ((n))
2668 #define strcpy(d, s) __builtin_strcpy ((d), (s))
2671 @opindex fcond-mismatch
2672 @item -fcond-mismatch
2673 Allow conditional expressions with mismatched types in the second and
2674 third arguments. The value of such an expression is void. This option
2675 is not supported for C++.
2677 @opindex ffreestanding
2678 @cindex hosted environment
2679 @item -ffreestanding
2681 Assert that compilation targets a freestanding environment. This
2682 implies @option{-fno-builtin}. A freestanding environment
2683 is one in which the standard library may not exist, and program startup may
2684 not necessarily be at @code{main}. The most obvious example is an OS kernel.
2685 This is equivalent to @option{-fno-hosted}.
2687 @xref{Standards,,Language Standards Supported by GCC}, for details of
2688 freestanding and hosted environments.
2693 Enable parsing of function definitions marked with @code{__GIMPLE}.
2694 This is an experimental feature that allows unit testing of GIMPLE
2699 When the option @option{-fgnu-tm} is specified, the compiler
2700 generates code for the Linux variant of Intel's current Transactional
2701 Memory ABI specification document (Revision 1.1, May 6 2009). This is
2702 an experimental feature whose interface may change in future versions
2703 of GCC, as the official specification changes. Please note that not
2704 all architectures are supported for this feature.
2706 For more information on GCC's support for transactional memory,
2707 @xref{Enabling libitm,,The GNU Transactional Memory Library,libitm,GNU
2708 Transactional Memory Library}.
2710 Note that the transactional memory feature is not supported with
2711 non-call exceptions (@option{-fnon-call-exceptions}).
2713 @opindex fgnu89-inline
2714 @item -fgnu89-inline
2715 The option @option{-fgnu89-inline} tells GCC to use the traditional
2716 GNU semantics for @code{inline} functions when in C99 mode.
2717 @xref{Inline,,An Inline Function is As Fast As a Macro}.
2718 Using this option is roughly equivalent to adding the
2719 @code{gnu_inline} function attribute to all inline functions
2720 (@pxref{Function Attributes}).
2722 The option @option{-fno-gnu89-inline} explicitly tells GCC to use the
2723 C99 semantics for @code{inline} when in C99 or gnu99 mode (i.e., it
2724 specifies the default behavior).
2725 This option is not supported in @option{-std=c90} or
2726 @option{-std=gnu90} mode.
2728 The preprocessor macros @code{__GNUC_GNU_INLINE__} and
2729 @code{__GNUC_STDC_INLINE__} may be used to check which semantics are
2730 in effect for @code{inline} functions. @xref{Common Predefined
2731 Macros,,,cpp,The C Preprocessor}.
2734 @cindex hosted environment
2737 Assert that compilation targets a hosted environment. This implies
2738 @option{-fbuiltin}. A hosted environment is one in which the
2739 entire standard library is available, and in which @code{main} has a return
2740 type of @code{int}. Examples are nearly everything except a kernel.
2741 This is equivalent to @option{-fno-freestanding}.
2743 @opindex flax-vector-conversions
2744 @item -flax-vector-conversions
2745 Allow implicit conversions between vectors with differing numbers of
2746 elements and/or incompatible element types. This option should not be
2749 @opindex fms-extensions
2750 @item -fms-extensions
2751 Accept some non-standard constructs used in Microsoft header files.
2753 In C++ code, this allows member names in structures to be similar
2754 to previous types declarations.
2763 Some cases of unnamed fields in structures and unions are only
2764 accepted with this option. @xref{Unnamed Fields,,Unnamed struct/union
2765 fields within structs/unions}, for details.
2767 Note that this option is off for all targets except for x86
2768 targets using ms-abi.
2771 @cindex Offloading targets
2772 @cindex OpenACC offloading targets
2773 @cindex OpenMP offloading targets
2774 @item -foffload=disable
2775 @itemx -foffload=default
2776 @itemx -foffload=@var{target-list}
2777 Specify for which OpenMP and OpenACC offload targets code should be generated.
2778 The default behavior, equivalent to @option{-foffload=default}, is to generate
2779 code for all supported offload targets. The @option{-foffload=disable} form
2780 generates code only for the host fallback, while
2781 @option{-foffload=@var{target-list}} generates code only for the specified
2782 comma-separated list of offload targets.
2784 Offload targets are specified in GCC's internal target-triplet format. You can
2785 run the compiler with @option{-v} to show the list of configured offload targets
2786 under @code{OFFLOAD_TARGET_NAMES}.
2788 @opindex foffload-options
2789 @cindex Offloading options
2790 @cindex OpenACC offloading options
2791 @cindex OpenMP offloading options
2792 @item -foffload-options=@var{options}
2793 @itemx -foffload-options=@var{target-triplet-list}=@var{options}
2795 With @option{-foffload-options=@var{options}}, GCC passes the specified
2796 @var{options} to the compilers for all enabled offloading targets. You can
2797 specify options that apply only to a specific target or targets by using
2798 the @option{-foffload-options=@var{target-list}=@var{options}} form. The
2799 @var{target-list} is a comma-separated list in the same format as for the
2800 @option{-foffload=} option.
2802 Typical command lines are
2805 -foffload-options='-fno-math-errno -ffinite-math-only' -foffload-options=nvptx-none=-latomic
2806 -foffload-options=amdgcn-amdhsa=-march=gfx906
2810 @cindex OpenACC accelerator programming
2812 Enable handling of OpenACC directives @samp{#pragma acc} in C/C++ and
2813 @samp{!$acc} in free-form Fortran and @samp{!$acc}, @samp{c$acc} and
2814 @samp{*$acc} in fixed-form Fortran. When @option{-fopenacc} is specified,
2815 the compiler generates accelerated code according to the OpenACC Application
2816 Programming Interface v2.6 @w{@uref{https://www.openacc.org}}. This option
2817 implies @option{-pthread}, and thus is only supported on targets that
2818 have support for @option{-pthread}.
2820 @opindex fopenacc-dim
2821 @cindex OpenACC accelerator programming
2822 @item -fopenacc-dim=@var{geom}
2823 Specify default compute dimensions for parallel offload regions that do
2824 not explicitly specify. The @var{geom} value is a triple of
2825 ':'-separated sizes, in order 'gang', 'worker' and, 'vector'. A size
2826 can be omitted, to use a target-specific default value.
2829 @cindex OpenMP parallel
2831 Enable handling of OpenMP directives @samp{#pragma omp},
2832 @samp{[[omp::directive(...)]]}, @samp{[[omp::sequence(...)]]} and
2833 @samp{[[omp::decl(...)]]} in C/C++ and @samp{!$omp} in Fortran. It
2834 additionally enables the conditional compilation sentinel @samp{!$} in
2835 Fortran. In fixed source form Fortran, the sentinels can also start with
2836 @samp{c} or @samp{*}. When @option{-fopenmp} is specified, the
2837 compiler generates parallel code according to the OpenMP Application
2838 Program Interface v4.5 @w{@uref{https://www.openmp.org}}. This option
2839 implies @option{-pthread}, and thus is only supported on targets that
2840 have support for @option{-pthread}. @option{-fopenmp} implies
2841 @option{-fopenmp-simd}.
2843 @opindex fopenmp-simd
2847 Enable handling of OpenMP's @code{simd}, @code{declare simd},
2848 @code{declare reduction}, @code{assume}, @code{ordered}, @code{scan}
2849 and @code{loop} directive, and of combined or composite directives with
2850 @code{simd} as constituent with @code{#pragma omp},
2851 @code{[[omp::directive(...)]]}, @code{[[omp::sequence(...)]]} and
2852 @code{[[omp::decl(...)]]} in C/C++ and @code{!$omp} in Fortran. It
2853 additionally enables the conditional compilation sentinel @samp{!$} in
2854 Fortran. In fixed source form Fortran, the sentinels can also start with
2855 @samp{c} or @samp{*}. Other OpenMP directives are ignored. Unless
2856 @option{-fopenmp} is additionally specified, the @code{loop} region binds
2857 to the current task region, independent of the specified @code{bind} clause.
2859 @opindex fopenmp-target-simd-clone
2860 @cindex OpenMP target SIMD clone
2861 @item -fopenmp-target-simd-clone
2862 @item -fopenmp-target-simd-clone=@var{device-type}
2863 In addition to generating SIMD clones for functions marked with the
2864 @code{declare simd} directive, GCC also generates clones
2865 for functions marked with the OpenMP @code{declare target} directive
2866 that are suitable for vectorization when this option is in effect. The
2867 @var{device-type} may be one of @code{none}, @code{host}, @code{nohost},
2868 and @code{any}, which correspond to keywords for the @code{device_type}
2869 clause of the @code{declare target} directive; clones are generated for
2870 the intersection of devices specified.
2871 @option{-fopenmp-target-simd-clone} is equivalent to
2872 @option{-fopenmp-target-simd-clone=any} and
2873 @option{-fno-openmp-target-simd-clone} is equivalent to
2874 @option{-fopenmp-target-simd-clone=none}.
2876 At @option{-O2} and higher (but not @option{-Os} or @option{-Og}) this
2877 optimization defaults to @option{-fopenmp-target-simd-clone=nohost}; otherwise
2878 it is disabled by default.
2880 @opindex fpermitted-flt-eval-methods
2881 @opindex fpermitted-flt-eval-methods=c11
2882 @opindex fpermitted-flt-eval-methods=ts-18661-3
2883 @item -fpermitted-flt-eval-methods=@var{style}
2884 ISO/IEC TS 18661-3 defines new permissible values for
2885 @code{FLT_EVAL_METHOD} that indicate that operations and constants with
2886 a semantic type that is an interchange or extended format should be
2887 evaluated to the precision and range of that type. These new values are
2888 a superset of those permitted under C99/C11, which does not specify the
2889 meaning of other positive values of @code{FLT_EVAL_METHOD}. As such, code
2890 conforming to C11 may not have been written expecting the possibility of
2893 @option{-fpermitted-flt-eval-methods} specifies whether the compiler
2894 should allow only the values of @code{FLT_EVAL_METHOD} specified in C99/C11,
2895 or the extended set of values specified in ISO/IEC TS 18661-3.
2897 @var{style} is either @code{c11} or @code{ts-18661-3} as appropriate.
2899 The default when in a standards compliant mode (@option{-std=c11} or similar)
2900 is @option{-fpermitted-flt-eval-methods=c11}. The default when in a GNU
2901 dialect (@option{-std=gnu11} or similar) is
2902 @option{-fpermitted-flt-eval-methods=ts-18661-3}.
2905 The @samp{-fdeps-*} options are used to extract structured dependency
2906 information for a source. This involves determining what resources provided by
2907 other source files will be required to compile the source as well as what
2908 resources are provided by the source. This information can be used to add
2909 required dependencies between compilation rules of dependent sources based on
2910 their contents rather than requiring such information be reflected within the
2911 build tools as well.
2914 @item -fdeps-file=@var{file}
2915 Where to write structured dependency information.
2917 @opindex fdeps-format
2918 @item -fdeps-format=@var{format}
2919 The format to use for structured dependency information. @samp{p1689r5} is the
2920 only supported format right now. Note that when this argument is specified, the
2921 output of @samp{-MF} is stripped of some information (namely C++ modules) so
2922 that it does not use extended makefile syntax not understood by most tools.
2924 @opindex fdeps-target
2925 @item -fdeps-target=@var{file}
2926 Analogous to @option{-MT} but for structured dependency information. This
2927 indicates the target which will ultimately need any required resources and
2928 provide any resources extracted from the source that may be required by other
2931 @opindex fplan9-extensions
2932 @item -fplan9-extensions
2933 Accept some non-standard constructs used in Plan 9 code.
2935 This enables @option{-fms-extensions}, permits passing pointers to
2936 structures with anonymous fields to functions that expect pointers to
2937 elements of the type of the field, and permits referring to anonymous
2938 fields declared using a typedef. @xref{Unnamed Fields,,Unnamed
2939 struct/union fields within structs/unions}, for details. This is only
2940 supported for C, not C++.
2942 @opindex fsigned-bitfields
2943 @opindex funsigned-bitfields
2944 @opindex fno-signed-bitfields
2945 @opindex fno-unsigned-bitfields
2946 @item -fsigned-bitfields
2947 @itemx -funsigned-bitfields
2948 @itemx -fno-signed-bitfields
2949 @itemx -fno-unsigned-bitfields
2950 These options control whether a bit-field is signed or unsigned, when the
2951 declaration does not use either @code{signed} or @code{unsigned}. By
2952 default, such a bit-field is signed, because this is consistent: the
2953 basic integer types such as @code{int} are signed types.
2955 @opindex fsigned-char
2957 Let the type @code{char} be signed, like @code{signed char}.
2959 Note that this is equivalent to @option{-fno-unsigned-char}, which is
2960 the negative form of @option{-funsigned-char}. Likewise, the option
2961 @option{-fno-signed-char} is equivalent to @option{-funsigned-char}.
2963 @opindex funsigned-char
2964 @item -funsigned-char
2965 Let the type @code{char} be unsigned, like @code{unsigned char}.
2967 Each kind of machine has a default for what @code{char} should
2968 be. It is either like @code{unsigned char} by default or like
2969 @code{signed char} by default.
2971 Ideally, a portable program should always use @code{signed char} or
2972 @code{unsigned char} when it depends on the signedness of an object.
2973 But many programs have been written to use plain @code{char} and
2974 expect it to be signed, or expect it to be unsigned, depending on the
2975 machines they were written for. This option, and its inverse, let you
2976 make such a program work with the opposite default.
2978 The type @code{char} is always a distinct type from each of
2979 @code{signed char} or @code{unsigned char}, even though its behavior
2980 is always just like one of those two.
2982 @opindex fstrict-flex-arrays
2983 @opindex fno-strict-flex-arrays
2984 @opindex fstrict-flex-arrays=@var{level}
2985 @item -fstrict-flex-arrays @r{(C and C++ only)}
2986 @itemx -fstrict-flex-arrays=@var{level} @r{(C and C++ only)}
2987 Control when to treat the trailing array of a structure as a flexible array
2988 member for the purpose of accessing the elements of such an array. The value
2989 of @var{level} controls the level of strictness.
2991 @option{-fstrict-flex-arrays} is equivalent to
2992 @option{-fstrict-flex-arrays=3}, which is the strictest; all
2993 trailing arrays of structures are treated as flexible array members.
2995 The negative form @option{-fno-strict-flex-arrays} is equivalent to
2996 @option{-fstrict-flex-arrays=0}, which is the least strict. In this
2997 case a trailing array is treated as a flexible array member only when
2998 it is declared as a flexible array member per C99 standard onwards.
3000 The possible values of @var{level} are the same as for the
3001 @code{strict_flex_array} attribute (@pxref{Variable Attributes}).
3003 You can control this behavior for a specific trailing array field of a
3004 structure by using the variable attribute @code{strict_flex_array} attribute
3005 (@pxref{Variable Attributes}).
3007 The @option{-fstrict_flex_arrays} option interacts with the
3008 @option{-Wstrict-flex-arrays} option. @xref{Warning Options}, for more
3011 @opindex fsso-struct
3012 @item -fsso-struct=@var{endianness}
3013 Set the default scalar storage order of structures and unions to the
3014 specified endianness. The accepted values are @samp{big-endian},
3015 @samp{little-endian} and @samp{native} for the native endianness of
3016 the target (the default). This option is not supported for C++.
3018 @strong{Warning:} the @option{-fsso-struct} switch causes GCC to generate
3019 code that is not binary compatible with code generated without it if the
3020 specified endianness is not the native endianness of the target.
3023 @node C++ Dialect Options
3024 @section Options Controlling C++ Dialect
3026 @cindex compiler options, C++
3027 @cindex C++ options, command-line
3028 @cindex options, C++
3029 This section describes the command-line options that are only meaningful
3030 for C++ programs. You can also use most of the GNU compiler options
3031 regardless of what language your program is in. For example, you
3032 might compile a file @file{firstClass.C} like this:
3035 g++ -g -fstrict-enums -O -c firstClass.C
3039 In this example, only @option{-fstrict-enums} is an option meant
3040 only for C++ programs; you can use the other options with any
3041 language supported by GCC@.
3043 Some options for compiling C programs, such as @option{-std}, are also
3044 relevant for C++ programs.
3045 @xref{C Dialect Options,,Options Controlling C Dialect}.
3047 Here is a list of options that are @emph{only} for compiling C++ programs:
3051 @opindex fabi-version
3052 @item -fabi-version=@var{n}
3053 Use version @var{n} of the C++ ABI@. The default is version 0.
3055 Version 0 refers to the version conforming most closely to
3056 the C++ ABI specification. Therefore, the ABI obtained using version 0
3057 will change in different versions of G++ as ABI bugs are fixed.
3059 Version 1 is the version of the C++ ABI that first appeared in G++ 3.2.
3061 Version 2 is the version of the C++ ABI that first appeared in G++
3062 3.4, and was the default through G++ 4.9.
3064 Version 3 corrects an error in mangling a constant address as a
3067 Version 4, which first appeared in G++ 4.5, implements a standard
3068 mangling for vector types.
3070 Version 5, which first appeared in G++ 4.6, corrects the mangling of
3071 attribute const/volatile on function pointer types, decltype of a
3072 plain decl, and use of a function parameter in the declaration of
3075 Version 6, which first appeared in G++ 4.7, corrects the promotion
3076 behavior of C++11 scoped enums and the mangling of template argument
3077 packs, const/static_cast, prefix ++ and --, and a class scope function
3078 used as a template argument.
3080 Version 7, which first appeared in G++ 4.8, that treats nullptr_t as a
3081 builtin type and corrects the mangling of lambdas in default argument
3084 Version 8, which first appeared in G++ 4.9, corrects the substitution
3085 behavior of function types with function-cv-qualifiers.
3087 Version 9, which first appeared in G++ 5.2, corrects the alignment of
3090 Version 10, which first appeared in G++ 6.1, adds mangling of
3091 attributes that affect type identity, such as ia32 calling convention
3092 attributes (e.g.@: @samp{stdcall}).
3094 Version 11, which first appeared in G++ 7, corrects the mangling of
3095 sizeof... expressions and operator names. For multiple entities with
3096 the same name within a function, that are declared in different scopes,
3097 the mangling now changes starting with the twelfth occurrence. It also
3098 implies @option{-fnew-inheriting-ctors}.
3100 Version 12, which first appeared in G++ 8, corrects the calling
3101 conventions for empty classes on the x86_64 target and for classes
3102 with only deleted copy/move constructors. It accidentally changes the
3103 calling convention for classes with a deleted copy constructor and a
3104 trivial move constructor.
3106 Version 13, which first appeared in G++ 8.2, fixes the accidental
3107 change in version 12.
3109 Version 14, which first appeared in G++ 10, corrects the mangling of
3110 the nullptr expression.
3112 Version 15, which first appeared in G++ 10.3, corrects G++ 10 ABI
3115 Version 16, which first appeared in G++ 11, changes the mangling of
3116 @code{__alignof__} to be distinct from that of @code{alignof}, and
3117 dependent operator names.
3119 Version 17, which first appeared in G++ 12, fixes layout of classes
3120 that inherit from aggregate classes with default member initializers
3123 Version 18, which first appeard in G++ 13, fixes manglings of lambdas
3124 that have additional context.
3126 Version 19, which first appeard in G++ 14, fixes manglings of structured
3127 bindings to include ABI tags.
3129 See also @option{-Wabi}.
3131 @opindex fabi-compat-version
3132 @item -fabi-compat-version=@var{n}
3133 On targets that support strong aliases, G++
3134 works around mangling changes by creating an alias with the correct
3135 mangled name when defining a symbol with an incorrect mangled name.
3136 This switch specifies which ABI version to use for the alias.
3138 With @option{-fabi-version=0} (the default), this defaults to 13 (GCC 8.2
3139 compatibility). If another ABI version is explicitly selected, this
3140 defaults to 0. For compatibility with GCC versions 3.2 through 4.9,
3141 use @option{-fabi-compat-version=2}.
3143 If this option is not provided but @option{-Wabi=@var{n}} is, that
3144 version is used for compatibility aliases. If this option is provided
3145 along with @option{-Wabi} (without the version), the version from this
3146 option is used for the warning.
3148 @opindex fno-access-control
3149 @opindex faccess-control
3150 @item -fno-access-control
3151 Turn off all access checking. This switch is mainly useful for working
3152 around bugs in the access control code.
3154 @opindex faligned-new
3156 Enable support for C++17 @code{new} of types that require more
3157 alignment than @code{void* ::operator new(std::size_t)} provides. A
3158 numeric argument such as @code{-faligned-new=32} can be used to
3159 specify how much alignment (in bytes) is provided by that function,
3160 but few users will need to override the default of
3161 @code{alignof(std::max_align_t)}.
3163 This flag is enabled by default for @option{-std=c++17}.
3166 @opindex fno-char8_t
3169 Enable support for @code{char8_t} as adopted for C++20. This includes
3170 the addition of a new @code{char8_t} fundamental type, changes to the
3171 types of UTF-8 string and character literals, new signatures for
3172 user-defined literals, associated standard library updates, and new
3173 @code{__cpp_char8_t} and @code{__cpp_lib_char8_t} feature test macros.
3175 This option enables functions to be overloaded for ordinary and UTF-8
3179 int f(const char *); // #1
3180 int f(const char8_t *); // #2
3181 int v1 = f("text"); // Calls #1
3182 int v2 = f(u8"text"); // Calls #2
3186 and introduces new signatures for user-defined literals:
3189 int operator""_udl1(char8_t);
3190 int v3 = u8'x'_udl1;
3191 int operator""_udl2(const char8_t*, std::size_t);
3192 int v4 = u8"text"_udl2;
3193 template<typename T, T...> int operator""_udl3();
3194 int v5 = u8"text"_udl3;
3198 The change to the types of UTF-8 string and character literals introduces
3199 incompatibilities with ISO C++11 and later standards. For example, the
3200 following code is well-formed under ISO C++11, but is ill-formed when
3201 @option{-fchar8_t} is specified.
3204 const char *cp = u8"xx";// error: invalid conversion from
3205 // `const char8_t*' to `const char*'
3207 auto v = f(u8"xx"); // error: invalid conversion from
3208 // `const char8_t*' to `const char*'
3209 std::string s@{u8"xx"@}; // error: no matching function for call to
3210 // `std::basic_string<char>::basic_string()'
3211 using namespace std::literals;
3212 s = u8"xx"s; // error: conversion from
3213 // `basic_string<char8_t>' to non-scalar
3214 // type `basic_string<char>' requested
3219 Check that the pointer returned by @code{operator new} is non-null
3220 before attempting to modify the storage allocated. This check is
3221 normally unnecessary because the C++ standard specifies that
3222 @code{operator new} only returns @code{0} if it is declared
3223 @code{throw()}, in which case the compiler always checks the
3224 return value even without this option. In all other cases, when
3225 @code{operator new} has a non-empty exception specification, memory
3226 exhaustion is signalled by throwing @code{std::bad_alloc}. See also
3227 @samp{new (nothrow)}.
3231 Enable support for the C++ Concepts feature for constraining template
3232 arguments. With @option{-std=c++20} and above, Concepts are part of
3233 the language standard, so @option{-fconcepts} defaults to on.
3235 Some constructs that were allowed by the earlier C++ Extensions for
3236 Concepts Technical Specification, ISO 19217 (2015), but didn't make it
3237 into the standard, could additionally be enabled by
3238 @option{-fconcepts-ts}. The option @option{-fconcepts-ts} was deprecated
3239 in GCC 14 and removed in GCC 15; users are expected to convert their code
3242 @opindex fconstexpr-depth
3243 @item -fconstexpr-depth=@var{n}
3244 Set the maximum nested evaluation depth for C++11 constexpr functions
3245 to @var{n}. A limit is needed to detect endless recursion during
3246 constant expression evaluation. The minimum specified by the standard
3249 @opindex fconstexpr-cache-depth
3250 @item -fconstexpr-cache-depth=@var{n}
3251 Set the maximum level of nested evaluation depth for C++11 constexpr
3252 functions that will be cached to @var{n}. This is a heuristic that
3253 trades off compilation speed (when the cache avoids repeated
3254 calculations) against memory consumption (when the cache grows very
3255 large from highly recursive evaluations). The default is 8. Very few
3256 users are likely to want to adjust it, but if your code does heavy
3257 constexpr calculations you might want to experiment to find which
3258 value works best for you.
3260 @opindex fconstexpr-fp-except
3261 @item -fconstexpr-fp-except
3262 Annex F of the C standard specifies that IEC559 floating point
3263 exceptions encountered at compile time should not stop compilation.
3264 C++ compilers have historically not followed this guidance, instead
3265 treating floating point division by zero as non-constant even though
3266 it has a well defined value. This flag tells the compiler to give
3267 Annex F priority over other rules saying that a particular operation
3271 constexpr float inf = 1./0.; // OK with -fconstexpr-fp-except
3274 @opindex fconstexpr-loop-limit
3275 @item -fconstexpr-loop-limit=@var{n}
3276 Set the maximum number of iterations for a loop in C++14 constexpr functions
3277 to @var{n}. A limit is needed to detect infinite loops during
3278 constant expression evaluation. The default is 262144 (1<<18).
3280 @opindex fconstexpr-ops-limit
3281 @item -fconstexpr-ops-limit=@var{n}
3282 Set the maximum number of operations during a single constexpr evaluation.
3283 Even when number of iterations of a single loop is limited with the above limit,
3284 if there are several nested loops and each of them has many iterations but still
3285 smaller than the above limit, or if in a body of some loop or even outside
3286 of a loop too many expressions need to be evaluated, the resulting constexpr
3287 evaluation might take too long.
3288 The default is 33554432 (1<<25).
3292 Enable experimental support for the C++ Contracts feature, as briefly
3293 added to and then removed from the C++20 working paper (N4820). The
3294 implementation also includes proposed enhancements from papers P1290,
3295 P1332, and P1429. This functionality is intended mostly for those
3296 interested in experimentation towards refining the feature to get it
3297 into shape for a future C++ standard.
3299 On violation of a checked contract, the violation handler is called.
3300 Users can replace the violation handler by defining
3303 handle_contract_violation (const std::experimental::contract_violation&);
3306 There are different sets of additional flags that can be used together
3307 to specify which contracts will be checked and how, for N4820
3308 contracts, P1332 contracts, or P1429 contracts; these sets cannot be
3312 @opindex fcontract-mode
3313 @item -fcontract-mode=[on|off]
3314 Control whether any contracts have any semantics at all. Defaults to on.
3316 @opindex fcontract-assumption-mode
3317 @item -fcontract-assumption-mode=[on|off]
3318 [N4820] Control whether contracts with level @samp{axiom}
3319 should have the assume semantic. Defaults to on.
3321 @opindex fcontract-build-level
3322 @item -fcontract-build-level=[off|default|audit]
3323 [N4820] Specify which level of contracts to generate checks
3324 for. Defaults to @samp{default}.
3326 @opindex fcontract-continuation-mode
3327 @item -fcontract-continuation-mode=[on|off]
3328 [N4820] Control whether to allow the program to continue executing
3329 after a contract violation. That is, do checked contracts have the
3330 @samp{maybe} semantic described below rather than the @samp{never}
3331 semantic. Defaults to off.
3333 @opindex fcontract-role
3334 @item -fcontract-role=<name>:<default>,<audit>,<axiom>
3335 [P1332] Specify the concrete semantics for each contract level
3336 of a particular contract role.
3338 @item -fcontract-semantic=[default|audit|axiom]:<semantic>
3339 [P1429] Specify the concrete semantic for a particular
3342 @opindex fcontract-strict-declarations
3343 @item -fcontract-strict-declarations=[on|off]
3344 Control whether to reject adding contracts to a function after its
3345 first declaration. Defaults to off.
3348 The possible concrete semantics for that can be specified with
3349 @samp{-fcontract-role} or @samp{-fcontract-semantic} are:
3353 This contract has no effect.
3356 This contract is treated like C++23 @code{[[assume]]}.
3358 @item check_never_continue
3361 This contract is checked. If it fails, the violation handler is
3362 called. If the handler returns, @code{std::terminate} is called.
3364 @item check_maybe_continue
3366 This contract is checked. If it fails, the violation handler is
3367 called. If the handler returns, execution continues normally.
3370 @opindex fcoroutines
3372 Enable support for the C++ coroutines extension (experimental).
3374 @opindex fdiagnostics-all-candidates
3375 @item -fdiagnostics-all-candidates
3376 Permit the C++ front end to note all candidates during overload resolution
3377 failure, including when a deleted function is selected.
3379 @opindex fno-elide-constructors
3380 @opindex felide-constructors
3381 @item -fno-elide-constructors
3382 The C++ standard allows an implementation to omit creating a temporary
3383 that is only used to initialize another object of the same type.
3384 Specifying this option disables that optimization, and forces G++ to
3385 call the copy constructor in all cases. This option also causes G++
3386 to call trivial member functions which otherwise would be expanded inline.
3388 In C++17, the compiler is required to omit these temporaries, but this
3389 option still affects trivial member functions.
3391 @opindex fno-enforce-eh-specs
3392 @opindex fenforce-eh-specs
3393 @item -fno-enforce-eh-specs
3394 Don't generate code to check for violation of exception specifications
3395 at run time. This option violates the C++ standard, but may be useful
3396 for reducing code size in production builds, much like defining
3397 @code{NDEBUG}. This does not give user code permission to throw
3398 exceptions in violation of the exception specifications; the compiler
3399 still optimizes based on the specifications, so throwing an
3400 unexpected exception results in undefined behavior at run time.
3402 @opindex fextern-tls-init
3403 @opindex fno-extern-tls-init
3404 @item -fextern-tls-init
3405 @itemx -fno-extern-tls-init
3406 The C++11 and OpenMP standards allow @code{thread_local} and
3407 @code{threadprivate} variables to have dynamic (runtime)
3408 initialization. To support this, any use of such a variable goes
3409 through a wrapper function that performs any necessary initialization.
3410 When the use and definition of the variable are in the same
3411 translation unit, this overhead can be optimized away, but when the
3412 use is in a different translation unit there is significant overhead
3413 even if the variable doesn't actually need dynamic initialization. If
3414 the programmer can be sure that no use of the variable in a
3415 non-defining TU needs to trigger dynamic initialization (either
3416 because the variable is statically initialized, or a use of the
3417 variable in the defining TU will be executed before any uses in
3418 another TU), they can avoid this overhead with the
3419 @option{-fno-extern-tls-init} option.
3421 On targets that support symbol aliases, the default is
3422 @option{-fextern-tls-init}. On targets that do not support symbol
3423 aliases, the default is @option{-fno-extern-tls-init}.
3425 @opindex ffold-simple-inlines
3426 @opindex fno-fold-simple-inlines
3427 @item -ffold-simple-inlines
3428 @itemx -fno-fold-simple-inlines
3429 Permit the C++ frontend to fold calls to @code{std::move}, @code{std::forward},
3430 @code{std::addressof} and @code{std::as_const}. In contrast to inlining, this
3431 means no debug information will be generated for such calls. Since these
3432 functions are rarely interesting to debug, this flag is enabled by default
3433 unless @option{-fno-inline} is active.
3435 @opindex fno-gnu-keywords
3436 @opindex fgnu-keywords
3437 @item -fno-gnu-keywords
3438 Do not recognize @code{typeof} as a keyword, so that code can use this
3439 word as an identifier. You can use the keyword @code{__typeof__} instead.
3440 This option is implied by the strict ISO C++ dialects: @option{-ansi},
3441 @option{-std=c++98}, @option{-std=c++11}, etc.
3443 @opindex fno-immediate-escalation
3444 @opindex fimmediate-escalation
3445 @item -fno-immediate-escalation
3446 Do not enable immediate function escalation whereby certain functions
3447 can be promoted to consteval, as specified in P2564R3. For example:
3450 consteval int id(int i) @{ return i; @}
3452 constexpr int f(auto t)
3454 return t + id(t); // id causes f<int> to be promoted to consteval
3463 compiles in C++20: @code{f} is an immediate-escalating function (due to
3464 the @code{auto} it is a function template and is declared @code{constexpr})
3465 and @code{id(t)} is an immediate-escalating expression, so @code{f} is
3466 promoted to @code{consteval}. Consequently, the call to @code{id(t)}
3467 is in an immediate context, so doesn't have to produce a constant (that
3468 is the mechanism allowing consteval function composition). However,
3469 with @option{-fno-immediate-escalation}, @code{f} is not promoted to
3470 @code{consteval}, and since the call to consteval function @code{id(t)}
3471 is not a constant expression, the compiler rejects the code.
3473 This option is turned on by default; it is only effective in C++20 mode
3476 @opindex fimplicit-constexpr
3477 @item -fimplicit-constexpr
3478 Make inline functions implicitly constexpr, if they satisfy the
3479 requirements for a constexpr function. This option can be used in
3480 C++14 mode or later. This can result in initialization changing from
3481 dynamic to static and other optimizations.
3483 @opindex fno-implicit-templates
3484 @opindex fimplicit-templates
3485 @item -fno-implicit-templates
3486 Never emit code for non-inline templates that are instantiated
3487 implicitly (i.e.@: by use); only emit code for explicit instantiations.
3488 If you use this option, you must take care to structure your code to
3489 include all the necessary explicit instantiations to avoid getting
3490 undefined symbols at link time.
3491 @xref{Template Instantiation}, for more information.
3493 @opindex fno-implicit-inline-templates
3494 @opindex fimplicit-inline-templates
3495 @item -fno-implicit-inline-templates
3496 Don't emit code for implicit instantiations of inline templates, either.
3497 The default is to handle inlines differently so that compiles with and
3498 without optimization need the same set of explicit instantiations.
3500 @opindex fno-implement-inlines
3501 @opindex fimplement-inlines
3502 @item -fno-implement-inlines
3503 To save space, do not emit out-of-line copies of inline functions
3504 controlled by @code{#pragma implementation}. This causes linker
3505 errors if these functions are not inlined everywhere they are called.
3507 @opindex fmodules-ts
3508 @opindex fno-modules-ts
3510 @itemx -fno-modules-ts
3511 Enable support for C++20 modules (@pxref{C++ Modules}). The
3512 @option{-fno-modules-ts} is usually not needed, as that is the
3513 default. Even though this is a C++20 feature, it is not currently
3514 implicitly enabled by selecting that standard version.
3516 @opindex fmodule-header
3517 @item -fmodule-header
3518 @itemx -fmodule-header=user
3519 @itemx -fmodule-header=system
3520 Compile a header file to create an importable header unit.
3522 @opindex fmodule-implicit-inline
3523 @item -fmodule-implicit-inline
3524 Member functions defined in their class definitions are not implicitly
3525 inline for modular code. This is different to traditional C++
3526 behavior, for good reasons. However, it may result in a difficulty
3527 during code porting. This option makes such function definitions
3528 implicitly inline. It does however generate an ABI incompatibility,
3529 so you must use it everywhere or nowhere. (Such definitions outside
3530 of a named module remain implicitly inline, regardless.)
3532 @opindex fno-module-lazy
3533 @opindex fmodule-lazy
3534 @item -fno-module-lazy
3535 Disable lazy module importing and module mapper creation.
3537 @vindex CXX_MODULE_MAPPER @r{environment variable}
3538 @opindex fmodule-mapper
3539 @item -fmodule-mapper=@r{[}@var{hostname}@r{]}:@var{port}@r{[}?@var{ident}@r{]}
3540 @itemx -fmodule-mapper=|@var{program}@r{[}?@var{ident}@r{]} @var{args...}
3541 @itemx -fmodule-mapper==@var{socket}@r{[}?@var{ident}@r{]}
3542 @itemx -fmodule-mapper=<>@r{[}@var{inout}@r{]}@r{[}?@var{ident}@r{]}
3543 @itemx -fmodule-mapper=<@var{in}>@var{out}@r{[}?@var{ident}@r{]}
3544 @itemx -fmodule-mapper=@var{file}@r{[}?@var{ident}@r{]}
3545 An oracle to query for module name to filename mappings. If
3546 unspecified the @env{CXX_MODULE_MAPPER} environment variable is used,
3547 and if that is unset, an in-process default is provided.
3549 @opindex fmodule-only
3551 Only emit the Compiled Module Interface, inhibiting any object file.
3553 @opindex fms-extensions
3554 @item -fms-extensions
3555 Disable Wpedantic warnings about constructs used in MFC, such as implicit
3556 int and getting a pointer to member function via non-standard syntax.
3558 @opindex fnew-inheriting-ctors
3559 @item -fnew-inheriting-ctors
3560 Enable the P0136 adjustment to the semantics of C++11 constructor
3561 inheritance. This is part of C++17 but also considered to be a Defect
3562 Report against C++11 and C++14. This flag is enabled by default
3563 unless @option{-fabi-version=10} or lower is specified.
3565 @opindex fnew-ttp-matching
3566 @item -fnew-ttp-matching
3567 Enable the P0522 resolution to Core issue 150, template template
3568 parameters and default arguments: this allows a template with default
3569 template arguments as an argument for a template template parameter
3570 with fewer template parameters. This flag is enabled by default for
3571 @option{-std=c++17}.
3573 @opindex fno-nonansi-builtins
3574 @opindex fnonansi-builtins
3575 @item -fno-nonansi-builtins
3576 Disable built-in declarations of functions that are not mandated by
3577 ANSI/ISO C@. These include @code{ffs}, @code{alloca}, @code{_exit},
3578 @code{index}, @code{bzero}, @code{conjf}, and other related functions.
3580 @opindex fnothrow-opt
3582 Treat a @code{throw()} exception specification as if it were a
3583 @code{noexcept} specification to reduce or eliminate the text size
3584 overhead relative to a function with no exception specification. If
3585 the function has local variables of types with non-trivial
3586 destructors, the exception specification actually makes the
3587 function smaller because the EH cleanups for those variables can be
3588 optimized away. The semantic effect is that an exception thrown out of
3589 a function with such an exception specification results in a call
3590 to @code{terminate} rather than @code{unexpected}.
3592 @opindex fno-operator-names
3593 @opindex foperator-names
3594 @item -fno-operator-names
3595 Do not treat the operator name keywords @code{and}, @code{bitand},
3596 @code{bitor}, @code{compl}, @code{not}, @code{or} and @code{xor} as
3597 synonyms as keywords.
3599 @opindex fno-optional-diags
3600 @opindex foptional-diags
3601 @item -fno-optional-diags
3602 Disable diagnostics that the standard says a compiler does not need to
3603 issue. Currently, the only such diagnostic issued by G++ is the one for
3604 a name having multiple meanings within a class.
3606 @opindex fno-pretty-templates
3607 @opindex fpretty-templates
3608 @item -fno-pretty-templates
3609 When an error message refers to a specialization of a function
3610 template, the compiler normally prints the signature of the
3611 template followed by the template arguments and any typedefs or
3612 typenames in the signature (e.g.@: @code{void f(T) [with T = int]}
3613 rather than @code{void f(int)}) so that it's clear which template is
3614 involved. When an error message refers to a specialization of a class
3615 template, the compiler omits any template arguments that match
3616 the default template arguments for that template. If either of these
3617 behaviors make it harder to understand the error message rather than
3618 easier, you can use @option{-fno-pretty-templates} to disable them.
3620 @opindex frange-for-ext-temps
3621 @item -frange-for-ext-temps
3622 Enable lifetime extension of C++ range based for temporaries.
3623 With @option{-std=c++23} and above this is part of the language standard,
3624 so lifetime of the temporaries is extended until the end of the loop
3625 regardless of this option. This option allows enabling that behavior also
3626 in earlier versions of the standard and is enabled by default in the
3627 GNU dialects, from @option{-std=gnu++11} until @option{-std=gnu++20}.
3632 Disable generation of information about every class with virtual
3633 functions for use by the C++ run-time type identification features
3634 (@code{dynamic_cast} and @code{typeid}). If you don't use those parts
3635 of the language, you can save some space by using this flag. Note that
3636 exception handling uses the same information, but G++ generates it as
3637 needed. The @code{dynamic_cast} operator can still be used for casts that
3638 do not require run-time type information, i.e.@: casts to @code{void *} or to
3639 unambiguous base classes.
3641 Mixing code compiled with @option{-frtti} with that compiled with
3642 @option{-fno-rtti} may not work. For example, programs may
3643 fail to link if a class compiled with @option{-fno-rtti} is used as a base
3644 for a class compiled with @option{-frtti}.
3646 @opindex fsized-deallocation
3647 @item -fsized-deallocation
3648 Enable the built-in global declarations
3650 void operator delete (void *, std::size_t) noexcept;
3651 void operator delete[] (void *, std::size_t) noexcept;
3653 as introduced in C++14. This is useful for user-defined replacement
3654 deallocation functions that, for example, use the size of the object
3655 to make deallocation faster. Enabled by default under
3656 @option{-std=c++14} and above. The flag @option{-Wsized-deallocation}
3657 warns about places that might want to add a definition.
3659 @opindex fstrict-enums
3660 @item -fstrict-enums
3661 Allow the compiler to optimize using the assumption that a value of
3662 enumerated type can only be one of the values of the enumeration (as
3663 defined in the C++ standard; basically, a value that can be
3664 represented in the minimum number of bits needed to represent all the
3665 enumerators). This assumption may not be valid if the program uses a
3666 cast to convert an arbitrary integer value to the enumerated type.
3667 This option has no effect for an enumeration type with a fixed underlying
3670 @opindex fstrong-eval-order
3671 @item -fstrong-eval-order
3672 Evaluate member access, array subscripting, and shift expressions in
3673 left-to-right order, and evaluate assignment in right-to-left order,
3674 as adopted for C++17. Enabled by default with @option{-std=c++17}.
3675 @option{-fstrong-eval-order=some} enables just the ordering of member
3676 access and shift expressions, and is the default without
3677 @option{-std=c++17}.
3679 @opindex ftemplate-backtrace-limit
3680 @item -ftemplate-backtrace-limit=@var{n}
3681 Set the maximum number of template instantiation notes for a single
3682 warning or error to @var{n}. The default value is 10.
3684 @opindex ftemplate-depth
3685 @item -ftemplate-depth=@var{n}
3686 Set the maximum instantiation depth for template classes to @var{n}.
3687 A limit on the template instantiation depth is needed to detect
3688 endless recursions during template class instantiation. ANSI/ISO C++
3689 conforming programs must not rely on a maximum depth greater than 17
3690 (changed to 1024 in C++11). The default value is 900, as the compiler
3691 can run out of stack space before hitting 1024 in some situations.
3693 @opindex fno-threadsafe-statics
3694 @opindex fthreadsafe-statics
3695 @item -fno-threadsafe-statics
3696 Do not emit the extra code to use the routines specified in the C++
3697 ABI for thread-safe initialization of local statics. You can use this
3698 option to reduce code size slightly in code that doesn't need to be
3701 @opindex fuse-cxa-atexit
3702 @item -fuse-cxa-atexit
3703 Register destructors for objects with static storage duration with the
3704 @code{__cxa_atexit} function rather than the @code{atexit} function.
3705 This option is required for fully standards-compliant handling of static
3706 destructors, but only works if your C library supports
3707 @code{__cxa_atexit}.
3709 @opindex fno-use-cxa-get-exception-ptr
3710 @opindex fuse-cxa-get-exception-ptr
3711 @item -fno-use-cxa-get-exception-ptr
3712 Don't use the @code{__cxa_get_exception_ptr} runtime routine. This
3713 causes @code{std::uncaught_exception} to be incorrect, but is necessary
3714 if the runtime routine is not available.
3716 @opindex fvisibility-inlines-hidden
3717 @item -fvisibility-inlines-hidden
3718 This switch declares that the user does not attempt to compare
3719 pointers to inline functions or methods where the addresses of the two functions
3720 are taken in different shared objects.
3722 The effect of this is that GCC may, effectively, mark inline methods with
3723 @code{__attribute__ ((visibility ("hidden")))} so that they do not
3724 appear in the export table of a DSO and do not require a PLT indirection
3725 when used within the DSO@. Enabling this option can have a dramatic effect
3726 on load and link times of a DSO as it massively reduces the size of the
3727 dynamic export table when the library makes heavy use of templates.
3729 The behavior of this switch is not quite the same as marking the
3730 methods as hidden directly, because it does not affect static variables
3731 local to the function or cause the compiler to deduce that
3732 the function is defined in only one shared object.
3734 You may mark a method as having a visibility explicitly to negate the
3735 effect of the switch for that method. For example, if you do want to
3736 compare pointers to a particular inline method, you might mark it as
3737 having default visibility. Marking the enclosing class with explicit
3738 visibility has no effect.
3740 Explicitly instantiated inline methods are unaffected by this option
3741 as their linkage might otherwise cross a shared library boundary.
3742 @xref{Template Instantiation}.
3744 @opindex fvisibility-ms-compat
3745 @item -fvisibility-ms-compat
3746 This flag attempts to use visibility settings to make GCC's C++
3747 linkage model compatible with that of Microsoft Visual Studio.
3749 The flag makes these changes to GCC's linkage model:
3753 It sets the default visibility to @code{hidden}, like
3754 @option{-fvisibility=hidden}.
3757 Types, but not their members, are not hidden by default.
3760 The One Definition Rule is relaxed for types without explicit
3761 visibility specifications that are defined in more than one
3762 shared object: those declarations are permitted if they are
3763 permitted when this option is not used.
3766 In new code it is better to use @option{-fvisibility=hidden} and
3767 export those classes that are intended to be externally visible.
3768 Unfortunately it is possible for code to rely, perhaps accidentally,
3769 on the Visual Studio behavior.
3771 Among the consequences of these changes are that static data members
3772 of the same type with the same name but defined in different shared
3773 objects are different, so changing one does not change the other;
3774 and that pointers to function members defined in different shared
3775 objects may not compare equal. When this flag is given, it is a
3776 violation of the ODR to define types with the same name differently.
3781 Do not use weak symbol support, even if it is provided by the linker.
3782 By default, G++ uses weak symbols if they are available. This
3783 option exists only for testing, and should not be used by end-users;
3784 it results in inferior code and has no benefits. This option may
3785 be removed in a future release of G++.
3787 @opindex fext-numeric-literals
3788 @opindex fno-ext-numeric-literals
3789 @item -fext-numeric-literals @r{(C++ and Objective-C++ only)}
3790 Accept imaginary, fixed-point, or machine-defined
3791 literal number suffixes as GNU extensions.
3792 When this option is turned off these suffixes are treated
3793 as C++11 user-defined literal numeric suffixes.
3794 This is on by default for all pre-C++11 dialects and all GNU dialects:
3795 @option{-std=c++98}, @option{-std=gnu++98}, @option{-std=gnu++11},
3796 @option{-std=gnu++14}.
3797 This option is off by default
3798 for ISO C++11 onwards (@option{-std=c++11}, ...).
3802 Do not search for header files in the standard directories specific to
3803 C++, but do still search the other standard directories. (This option
3804 is used when building the C++ library.)
3806 @opindex flang-info-include-translate
3807 @opindex flang-info-include-translate-not
3808 @item -flang-info-include-translate
3809 @itemx -flang-info-include-translate-not
3810 @itemx -flang-info-include-translate=@var{header}
3811 Inform of include translation events. The first will note accepted
3812 include translations, the second will note declined include
3813 translations. The @var{header} form will inform of include
3814 translations relating to that specific header. If @var{header} is of
3815 the form @code{"user"} or @code{<system>} it will be resolved to a
3816 specific user or system header using the include path.
3818 @opindex flang-info-module-cmi
3819 @item -flang-info-module-cmi
3820 @itemx -flang-info-module-cmi=@var{module}
3821 Inform of Compiled Module Interface pathnames. The first will note
3822 all read CMI pathnames. The @var{module} form will not reading a
3823 specific module's CMI. @var{module} may be a named module or a
3824 header-unit (the latter indicated by either being a pathname containing
3825 directory separators or enclosed in @code{<>} or @code{""}).
3828 @item -stdlib=@var{libstdc++,libc++}
3829 When G++ is configured to support this option, it allows specification of
3830 alternate C++ runtime libraries. Two options are available: @var{libstdc++}
3831 (the default, native C++ runtime for G++) and @var{libc++} which is the
3832 C++ runtime installed on some operating systems (e.g. Darwin versions from
3833 Darwin11 onwards). The option switches G++ to use the headers from the
3834 specified library and to emit @code{-lstdc++} or @code{-lc++} respectively,
3835 when a C++ runtime is required for linking.
3838 In addition, these warning options have meanings only for C++ programs:
3842 @item -Wabi-tag @r{(C++ and Objective-C++ only)}
3843 Warn when a type with an ABI tag is used in a context that does not
3844 have that ABI tag. See @ref{C++ Attributes} for more information
3847 @opindex Wcomma-subscript
3848 @opindex Wno-comma-subscript
3849 @item -Wcomma-subscript @r{(C++ and Objective-C++ only)}
3850 Warn about uses of a comma expression within a subscripting expression.
3851 This usage was deprecated in C++20 and is going to be removed in C++23.
3852 However, a comma expression wrapped in @code{( )} is not deprecated. Example:
3856 void f(int *a, int b, int c) @{
3857 a[b,c]; // deprecated in C++20, invalid in C++23
3863 In C++23 it is valid to have comma separated expressions in a subscript
3864 when an overloaded subscript operator is found and supports the right
3865 number and types of arguments. G++ will accept the formerly valid syntax
3866 for code that is not valid in C++23 but used to be valid but deprecated
3867 in C++20 with a pedantic warning that can be disabled with
3868 @option{-Wno-comma-subscript}.
3870 Enabled by default with @option{-std=c++20} unless
3871 @option{-Wno-deprecated}, and after @option{-std=c++23} regardless of
3872 @option{-Wno-deprecated}. Before @option{-std=c++20}, enabled with
3873 explicit @option{-Wdeprecated}.
3875 This warning is upgraded to an error by @option{-pedantic-errors} in
3876 C++23 mode or later.
3878 @opindex Wctad-maybe-unsupported
3879 @opindex Wno-ctad-maybe-unsupported
3880 @item -Wctad-maybe-unsupported @r{(C++ and Objective-C++ only)}
3881 Warn when performing class template argument deduction (CTAD) on a type with
3882 no explicitly written deduction guides. This warning will point out cases
3883 where CTAD succeeded only because the compiler synthesized the implicit
3884 deduction guides, which might not be what the programmer intended. Certain
3885 style guides allow CTAD only on types that specifically "opt-in"; i.e., on
3886 types that are designed to support CTAD. This warning can be suppressed with
3887 the following pattern:
3890 struct allow_ctad_t; // any name works
3891 template <typename T> struct S @{
3894 // Guide with incomplete parameter type will never be considered.
3895 S(allow_ctad_t) -> S<void>;
3898 @opindex Wctor-dtor-privacy
3899 @opindex Wno-ctor-dtor-privacy
3900 @item -Wctor-dtor-privacy @r{(C++ and Objective-C++ only)}
3901 Warn when a class seems unusable because all the constructors or
3902 destructors in that class are private, and it has neither friends nor
3903 public static member functions. Also warn if there are no non-private
3904 methods, and there's at least one private member function that isn't
3905 a constructor or destructor.
3907 @opindex Wdangling-reference
3908 @opindex Wno-dangling-reference
3909 @item -Wdangling-reference @r{(C++ and Objective-C++ only)}
3910 Warn when a reference is bound to a temporary whose lifetime has ended.
3915 const int& r = std::max(n - 1, n + 1); // r is dangling
3918 In the example above, two temporaries are created, one for each
3919 argument, and a reference to one of the temporaries is returned.
3920 However, both temporaries are destroyed at the end of the full
3921 expression, so the reference @code{r} is dangling. This warning
3922 also detects dangling references in member initializer lists:
3925 const int& f(const int& i) @{ return i; @}
3927 const int &r; // r is dangling
3928 S() : r(f(10)) @{ @}
3932 Member functions are checked as well, but only their object argument:
3936 const S& self () @{ return *this; @}
3938 const S& s = S().self(); // s is dangling
3941 Certain functions are safe in this respect, for example @code{std::use_facet}:
3942 they take and return a reference, but they don't return one of its arguments,
3943 which can fool the warning. Such functions can be excluded from the warning
3944 by wrapping them in a @code{#pragma}:
3947 #pragma GCC diagnostic push
3948 #pragma GCC diagnostic ignored "-Wdangling-reference"
3949 const T& foo (const T&) @{ @dots{} @}
3950 #pragma GCC diagnostic pop
3953 The @code{#pragma} can also surround the class; in that case, the warning
3954 will be disabled for all the member functions.
3956 @option{-Wdangling-reference} also warns about code like
3959 auto p = std::minmax(1, 2);
3962 where @code{std::minmax} returns @code{std::pair<const int&, const int&>}, and
3963 both references dangle after the end of the full expression that contains
3964 the call to @code{std::minmax}.
3966 The warning does not warn for @code{std::span}-like classes. We consider
3967 classes of the form:
3970 template<typename T>
3977 as @code{std::span}-like; that is, the class is a non-union class
3978 that has a pointer data member and a trivial destructor.
3980 The warning can be disabled by using the @code{gnu::no_dangling} attribute
3981 (@pxref{C++ Attributes}).
3983 This warning is enabled by @option{-Wextra}.
3985 @opindex Wdelete-non-virtual-dtor
3986 @opindex Wno-delete-non-virtual-dtor
3987 @item -Wdelete-non-virtual-dtor @r{(C++ and Objective-C++ only)}
3988 Warn when @code{delete} is used to destroy an instance of a class that
3989 has virtual functions and non-virtual destructor. It is unsafe to delete
3990 an instance of a derived class through a pointer to a base class if the
3991 base class does not have a virtual destructor. This warning is enabled
3994 @opindex Wdeprecated-copy
3995 @opindex Wno-deprecated-copy
3996 @item -Wdeprecated-copy @r{(C++ and Objective-C++ only)}
3997 Warn that the implicit declaration of a copy constructor or copy
3998 assignment operator is deprecated if the class has a user-provided
3999 copy constructor or copy assignment operator, in C++11 and up. This
4000 warning is enabled by @option{-Wextra}. With
4001 @option{-Wdeprecated-copy-dtor}, also deprecate if the class has a
4002 user-provided destructor.
4004 @opindex Wdeprecated-enum-enum-conversion
4005 @opindex Wno-deprecated-enum-enum-conversion
4006 @item -Wno-deprecated-enum-enum-conversion @r{(C++ and Objective-C++ only)}
4007 Disable the warning about the case when the usual arithmetic conversions
4008 are applied on operands where one is of enumeration type and the other is
4009 of a different enumeration type. This conversion was deprecated in C++20.
4018 @option{-Wdeprecated-enum-enum-conversion} is enabled by default with
4019 @option{-std=c++20}. In pre-C++20 dialects, this warning can be enabled
4020 by @option{-Wenum-conversion} or @option{-Wdeprecated}.
4022 @opindex Wdeprecated-enum-float-conversion
4023 @opindex Wno-deprecated-enum-float-conversion
4024 @item -Wno-deprecated-enum-float-conversion @r{(C++ and Objective-C++ only)}
4025 Disable the warning about the case when the usual arithmetic conversions
4026 are applied on operands where one is of enumeration type and the other is
4027 of a floating-point type. This conversion was deprecated in C++20. For
4036 @option{-Wdeprecated-enum-float-conversion} is enabled by default with
4037 @option{-std=c++20}. In pre-C++20 dialects, this warning can be enabled
4038 by @option{-Wenum-conversion} or @option{-Wdeprecated}.
4040 @opindex Wdeprecated-literal-operator
4041 @opindex Wno-deprecated-literal-operator
4042 @item -Wdeprecated-literal-operator @r{(C++ and Objective-C++ only)}
4043 Warn that the declaration of a user-defined literal operator with a
4044 space before the suffix is deprecated. This warning is enabled by
4045 default in C++23, or with explicit @option{-Wdeprecated}.
4048 string operator "" _i18n(const char*, std::size_t); // deprecated
4049 string operator ""_i18n(const char*, std::size_t); // preferred
4052 @opindex Welaborated-enum-base
4053 @opindex Wno-elaborated-enum-base
4054 @item -Wno-elaborated-enum-base
4055 For C++11 and above, warn if an (invalid) additional enum-base is used
4056 in an elaborated-type-specifier. That is, if an enum with given
4057 underlying type and no enumerator list is used in a declaration other
4058 than just a standalone declaration of the enum. Enabled by default. This
4059 warning is upgraded to an error with -pedantic-errors.
4061 @opindex Winit-list-lifetime
4062 @opindex Wno-init-list-lifetime
4063 @item -Wno-init-list-lifetime @r{(C++ and Objective-C++ only)}
4064 Do not warn about uses of @code{std::initializer_list} that are likely
4065 to result in dangling pointers. Since the underlying array for an
4066 @code{initializer_list} is handled like a normal C++ temporary object,
4067 it is easy to inadvertently keep a pointer to the array past the end
4068 of the array's lifetime. For example:
4072 If a function returns a temporary @code{initializer_list}, or a local
4073 @code{initializer_list} variable, the array's lifetime ends at the end
4074 of the return statement, so the value returned has a dangling pointer.
4077 If a new-expression creates an @code{initializer_list}, the array only
4078 lives until the end of the enclosing full-expression, so the
4079 @code{initializer_list} in the heap has a dangling pointer.
4082 When an @code{initializer_list} variable is assigned from a
4083 brace-enclosed initializer list, the temporary array created for the
4084 right side of the assignment only lives until the end of the
4085 full-expression, so at the next statement the @code{initializer_list}
4086 variable has a dangling pointer.
4089 // li's initial underlying array lives as long as li
4090 std::initializer_list<int> li = @{ 1,2,3 @};
4091 // assignment changes li to point to a temporary array
4093 // now the temporary is gone and li has a dangling pointer
4094 int i = li.begin()[0] // undefined behavior
4098 When a list constructor stores the @code{begin} pointer from the
4099 @code{initializer_list} argument, this doesn't extend the lifetime of
4100 the array, so if a class variable is constructed from a temporary
4101 @code{initializer_list}, the pointer is left dangling by the end of
4102 the variable declaration statement.
4106 @opindex Winvalid-constexpr
4107 @opindex Wno-invalid-constexpr
4108 @item -Winvalid-constexpr
4110 Warn when a function never produces a constant expression. In C++20
4111 and earlier, for every @code{constexpr} function and function template,
4112 there must be at least one set of function arguments in at least one
4113 instantiation such that an invocation of the function or constructor
4114 could be an evaluated subexpression of a core constant expression.
4115 C++23 removed this restriction, so it's possible to have a function
4116 or a function template marked @code{constexpr} for which no invocation
4117 satisfies the requirements of a core constant expression.
4119 This warning is enabled as a pedantic warning by default in C++20 and
4120 earlier. In C++23, @option{-Winvalid-constexpr} can be turned on, in
4121 which case it will be an ordinary warning. For example:
4128 // Warns by default in C++20, in C++23 only with -Winvalid-constexpr.
4133 @opindex Winvalid-imported-macros
4134 @opindex Wno-invalid-imported-macros
4135 @item -Winvalid-imported-macros
4136 Verify all imported macro definitions are valid at the end of
4137 compilation. This is not enabled by default, as it requires
4138 additional processing to determine. It may be useful when preparing
4139 sets of header-units to ensure consistent macros.
4141 @opindex Wliteral-suffix
4142 @opindex Wno-literal-suffix
4143 @item -Wno-literal-suffix @r{(C++ and Objective-C++ only)}
4144 Do not warn when a string or character literal is followed by a
4145 ud-suffix which does not begin with an underscore. As a conforming
4146 extension, GCC treats such suffixes as separate preprocessing tokens
4147 in order to maintain backwards compatibility with code that uses
4148 formatting macros from @code{<inttypes.h>}. For example:
4151 #define __STDC_FORMAT_MACROS
4152 #include <inttypes.h>
4157 printf("My int64: %" PRId64"\n", i64);
4161 In this case, @code{PRId64} is treated as a separate preprocessing token.
4163 This option also controls warnings when a user-defined literal
4164 operator is declared with a literal suffix identifier that doesn't
4165 begin with an underscore. Literal suffix identifiers that don't begin
4166 with an underscore are reserved for future standardization.
4168 These warnings are enabled by default.
4171 @opindex Wno-narrowing
4172 @item -Wno-narrowing @r{(C++ and Objective-C++ only)}
4173 For C++11 and later standards, narrowing conversions are diagnosed by default,
4174 as required by the standard. A narrowing conversion from a constant produces
4175 an error, and a narrowing conversion from a non-constant produces a warning,
4176 but @option{-Wno-narrowing} suppresses the diagnostic.
4177 Note that this does not affect the meaning of well-formed code;
4178 narrowing conversions are still considered ill-formed in SFINAE contexts.
4180 With @option{-Wnarrowing} in C++98, warn when a narrowing
4181 conversion prohibited by C++11 occurs within
4185 int i = @{ 2.2 @}; // error: narrowing from double to int
4188 This flag is included in @option{-Wall} and @option{-Wc++11-compat}.
4191 @opindex Wno-noexcept
4192 @item -Wnoexcept @r{(C++ and Objective-C++ only)}
4193 Warn when a noexcept-expression evaluates to false because of a call
4194 to a function that does not have a non-throwing exception
4195 specification (i.e. @code{throw()} or @code{noexcept}) but is known by
4196 the compiler to never throw an exception.
4198 @opindex Wnoexcept-type
4199 @opindex Wno-noexcept-type
4200 @item -Wnoexcept-type @r{(C++ and Objective-C++ only)}
4201 Warn if the C++17 feature making @code{noexcept} part of a function
4202 type changes the mangled name of a symbol relative to C++14. Enabled
4203 by @option{-Wabi} and @option{-Wc++17-compat}.
4208 template <class T> void f(T t) @{ t(); @};
4210 void h() @{ f(g); @}
4214 In C++14, @code{f} calls @code{f<void(*)()>}, but in
4215 C++17 it calls @code{f<void(*)()noexcept>}.
4217 @opindex Wclass-memaccess
4218 @opindex Wno-class-memaccess
4219 @item -Wclass-memaccess @r{(C++ and Objective-C++ only)}
4220 Warn when the destination of a call to a raw memory function such as
4221 @code{memset} or @code{memcpy} is an object of class type, and when writing
4222 into such an object might bypass the class non-trivial or deleted constructor
4223 or copy assignment, violate const-correctness or encapsulation, or corrupt
4224 virtual table pointers. Modifying the representation of such objects may
4225 violate invariants maintained by member functions of the class. For example,
4226 the call to @code{memset} below is undefined because it modifies a non-trivial
4227 class object and is, therefore, diagnosed. The safe way to either initialize
4228 or clear the storage of objects of such types is by using the appropriate
4229 constructor or assignment operator, if one is available.
4231 std::string str = "abc";
4232 memset (&str, 0, sizeof str);
4234 The @option{-Wclass-memaccess} option is enabled by @option{-Wall}.
4235 Explicitly casting the pointer to the class object to @code{void *} or
4236 to a type that can be safely accessed by the raw memory function suppresses
4239 @opindex Wnon-virtual-dtor
4240 @opindex Wno-non-virtual-dtor
4241 @item -Wnon-virtual-dtor @r{(C++ and Objective-C++ only)}
4242 Warn when a class has virtual functions and an accessible non-virtual
4243 destructor itself or in an accessible polymorphic base class, in which
4244 case it is possible but unsafe to delete an instance of a derived
4245 class through a pointer to the class itself or base class. This
4246 warning is automatically enabled if @option{-Weffc++} is specified.
4247 The @option{-Wdelete-non-virtual-dtor} option (enabled by @option{-Wall})
4248 should be preferred because it warns about the unsafe cases without false
4252 @opindex Wno-register
4253 @item -Wregister @r{(C++ and Objective-C++ only)}
4254 Warn on uses of the @code{register} storage class specifier, except
4255 when it is part of the GNU @ref{Explicit Register Variables} extension.
4256 The use of the @code{register} keyword as storage class specifier has
4257 been deprecated in C++11 and removed in C++17.
4258 Enabled by default with @option{-std=c++17}.
4261 @opindex Wno-reorder
4262 @cindex reordering, warning
4263 @cindex warning for reordering of member initializers
4264 @item -Wreorder @r{(C++ and Objective-C++ only)}
4265 Warn when the order of member initializers given in the code does not
4266 match the order in which they must be executed. For instance:
4272 A(): j (0), i (1) @{ @}
4277 The compiler rearranges the member initializers for @code{i}
4278 and @code{j} to match the declaration order of the members, emitting
4279 a warning to that effect. This warning is enabled by @option{-Wall}.
4281 @opindex Wpessimizing-move
4282 @opindex Wno-pessimizing-move
4283 @item -Wno-pessimizing-move @r{(C++ and Objective-C++ only)}
4284 This warning warns when a call to @code{std::move} prevents copy
4285 elision. A typical scenario when copy elision can occur is when returning in
4286 a function with a class return type, when the expression being returned is the
4287 name of a non-volatile automatic object, and is not a function parameter, and
4288 has the same type as the function return type.
4298 return std::move (t);
4302 But in this example, the @code{std::move} call prevents copy elision.
4304 This warning is enabled by @option{-Wall}.
4306 @opindex Wredundant-move
4307 @opindex Wno-redundant-move
4308 @item -Wno-redundant-move @r{(C++ and Objective-C++ only)}
4309 This warning warns about redundant calls to @code{std::move}; that is, when
4310 a move operation would have been performed even without the @code{std::move}
4311 call. This happens because the compiler is forced to treat the object as if
4312 it were an rvalue in certain situations such as returning a local variable,
4313 where copy elision isn't applicable. Consider:
4322 return std::move (t);
4326 Here, the @code{std::move} call is redundant. Because G++ implements Core
4327 Issue 1579, another example is:
4330 struct T @{ // convertible to U
4340 return std::move (t);
4343 In this example, copy elision isn't applicable because the type of the
4344 expression being returned and the function return type differ, yet G++
4345 treats the return value as if it were designated by an rvalue.
4347 This warning is enabled by @option{-Wextra}.
4349 @opindex Wrange-loop-construct
4350 @opindex Wno-range-loop-construct
4351 @item -Wrange-loop-construct @r{(C++ and Objective-C++ only)}
4352 This warning warns when a C++ range-based for-loop is creating an unnecessary
4353 copy. This can happen when the range declaration is not a reference, but
4354 probably should be. For example:
4357 struct S @{ char arr[128]; @};
4360 for (const auto x : arr) @{ @dots{} @}
4364 It does not warn when the type being copied is a trivially-copyable type whose
4365 size is less than 64 bytes.
4367 This warning also warns when a loop variable in a range-based for-loop is
4368 initialized with a value of a different type resulting in a copy. For example:
4373 for (const double &x : arr) @{ @dots{} @}
4377 In the example above, in every iteration of the loop a temporary value of
4378 type @code{double} is created and destroyed, to which the reference
4379 @code{const double &} is bound.
4381 This warning is enabled by @option{-Wall}.
4383 @opindex Wredundant-tags
4384 @opindex Wno-redundant-tags
4385 @item -Wredundant-tags @r{(C++ and Objective-C++ only)}
4386 Warn about redundant class-key and enum-key in references to class types
4387 and enumerated types in contexts where the key can be eliminated without
4388 causing an ambiguity. For example:
4392 struct foo *p; // warn that keyword struct can be eliminated
4396 On the other hand, in this example there is no warning:
4400 void foo (); // "hides" struct foo
4401 void bar (struct foo&); // no warning, keyword struct is necessary
4404 @opindex Wsubobject-linkage
4405 @opindex Wno-subobject-linkage
4406 @item -Wno-subobject-linkage @r{(C++ and Objective-C++ only)}
4408 if a class type has a base or a field whose type uses the anonymous
4409 namespace or depends on a type with no linkage. If a type A depends on
4410 a type B with no or internal linkage, defining it in multiple
4411 translation units would be an ODR violation because the meaning of B
4412 is different in each translation unit. If A only appears in a single
4413 translation unit, the best way to silence the warning is to give it
4414 internal linkage by putting it in an anonymous namespace as well. The
4415 compiler doesn't give this warning for types defined in the main .C
4416 file, as those are unlikely to have multiple definitions.
4417 @option{-Wsubobject-linkage} is enabled by default.
4421 @item -Weffc++ @r{(C++ and Objective-C++ only)}
4422 Warn about violations of the following style guidelines from Scott Meyers'
4423 @cite{Effective C++} series of books:
4427 Define a copy constructor and an assignment operator for classes
4428 with dynamically-allocated memory.
4431 Prefer initialization to assignment in constructors.
4434 Have @code{operator=} return a reference to @code{*this}.
4437 Don't try to return a reference when you must return an object.
4440 Distinguish between prefix and postfix forms of increment and
4441 decrement operators.
4444 Never overload @code{&&}, @code{||}, or @code{,}.
4448 This option also enables @option{-Wnon-virtual-dtor}, which is also
4449 one of the effective C++ recommendations. However, the check is
4450 extended to warn about the lack of virtual destructor in accessible
4451 non-polymorphic bases classes too.
4453 When selecting this option, be aware that the standard library
4454 headers do not obey all of these guidelines; use @samp{grep -v}
4455 to filter out those warnings.
4457 @opindex Wexceptions
4458 @opindex Wno-exceptions
4459 @item -Wno-exceptions @r{(C++ and Objective-C++ only)}
4460 Disable the warning about the case when an exception handler is shadowed by
4461 another handler, which can point out a wrong ordering of exception handlers.
4463 @opindex Wstrict-null-sentinel
4464 @opindex Wno-strict-null-sentinel
4465 @item -Wstrict-null-sentinel @r{(C++ and Objective-C++ only)}
4466 Warn about the use of an uncasted @code{NULL} as sentinel. When
4467 compiling only with GCC this is a valid sentinel, as @code{NULL} is defined
4468 to @code{__null}. Although it is a null pointer constant rather than a
4469 null pointer, it is guaranteed to be of the same size as a pointer.
4470 But this use is not portable across different compilers.
4472 @opindex Wno-non-template-friend
4473 @opindex Wnon-template-friend
4474 @item -Wno-non-template-friend @r{(C++ and Objective-C++ only)}
4475 Disable warnings when non-template friend functions are declared
4476 within a template. In very old versions of GCC that predate implementation
4477 of the ISO standard, declarations such as
4478 @samp{friend int foo(int)}, where the name of the friend is an unqualified-id,
4479 could be interpreted as a particular specialization of a template
4480 function; the warning exists to diagnose compatibility problems,
4481 and is enabled by default.
4483 @opindex Wold-style-cast
4484 @opindex Wno-old-style-cast
4485 @item -Wold-style-cast @r{(C++ and Objective-C++ only)}
4486 Warn if an old-style (C-style) cast to a non-void type is used within
4487 a C++ program. The new-style casts (@code{dynamic_cast},
4488 @code{static_cast}, @code{reinterpret_cast}, and @code{const_cast}) are
4489 less vulnerable to unintended effects and much easier to search for.
4491 @opindex Woverloaded-virtual
4492 @opindex Wno-overloaded-virtual
4493 @cindex overloaded virtual function, warning
4494 @cindex warning for overloaded virtual function
4495 @item -Woverloaded-virtual @r{(C++ and Objective-C++ only)}
4496 @itemx -Woverloaded-virtual=@var{n}
4497 Warn when a function declaration hides virtual functions from a
4498 base class. For example, in:
4505 struct B: public A @{
4506 void f(int); // does not override
4510 the @code{A} class version of @code{f} is hidden in @code{B}, and code
4521 In cases where the different signatures are not an accident, the
4522 simplest solution is to add a using-declaration to the derived class
4523 to un-hide the base function, e.g. add @code{using A::f;} to @code{B}.
4525 The optional level suffix controls the behavior when all the
4526 declarations in the derived class override virtual functions in the
4527 base class, even if not all of the base functions are overridden:
4532 virtual void f(int);
4535 struct D: public C @{
4536 void f(int); // does override
4540 This pattern is less likely to be a mistake; if D is only used
4541 virtually, the user might have decided that the base class semantics
4542 for some of the overloads are fine.
4544 At level 1, this case does not warn; at level 2, it does.
4545 @option{-Woverloaded-virtual} by itself selects level 2. Level 1 is
4546 included in @option{-Wall}.
4548 @opindex Wno-pmf-conversions
4549 @opindex Wpmf-conversions
4550 @item -Wno-pmf-conversions @r{(C++ and Objective-C++ only)}
4551 Disable the diagnostic for converting a bound pointer to member function
4554 @opindex Wsign-promo
4555 @opindex Wno-sign-promo
4556 @item -Wsign-promo @r{(C++ and Objective-C++ only)}
4557 Warn when overload resolution chooses a promotion from unsigned or
4558 enumerated type to a signed type, over a conversion to an unsigned type of
4559 the same size. Previous versions of G++ tried to preserve
4560 unsignedness, but the standard mandates the current behavior.
4563 @opindex Wno-templates
4564 @item -Wtemplates @r{(C++ and Objective-C++ only)}
4565 Warn when a primary template declaration is encountered. Some coding
4566 rules disallow templates, and this may be used to enforce that rule.
4567 The warning is inactive inside a system header file, such as the STL, so
4568 one can still use the STL. One may also instantiate or specialize
4571 @opindex Wmismatched-new-delete
4572 @opindex Wno-mismatched-new-delete
4573 @item -Wmismatched-new-delete @r{(C++ and Objective-C++ only)}
4574 Warn for mismatches between calls to @code{operator new} or @code{operator
4575 delete} and the corresponding call to the allocation or deallocation function.
4576 This includes invocations of C++ @code{operator delete} with pointers
4577 returned from either mismatched forms of @code{operator new}, or from other
4578 functions that allocate objects for which the @code{operator delete} isn't
4579 a suitable deallocator, as well as calls to other deallocation functions
4580 with pointers returned from @code{operator new} for which the deallocation
4581 function isn't suitable.
4583 For example, the @code{delete} expression in the function below is diagnosed
4584 because it doesn't match the array form of the @code{new} expression
4585 the pointer argument was returned from. Similarly, the call to @code{free}
4591 int *a = new int[n];
4592 delete a; // warning: mismatch in array forms of expressions
4594 char *p = new char[n];
4595 free (p); // warning: mismatch between new and free
4599 The related option @option{-Wmismatched-dealloc} diagnoses mismatches
4600 involving allocation and deallocation functions other than @code{operator
4601 new} and @code{operator delete}.
4603 @option{-Wmismatched-new-delete} is included in @option{-Wall}.
4605 @opindex Wmismatched-tags
4606 @opindex Wno-mismatched-tags
4607 @item -Wmismatched-tags @r{(C++ and Objective-C++ only)}
4608 Warn for declarations of structs, classes, and class templates and their
4609 specializations with a class-key that does not match either the definition
4610 or the first declaration if no definition is provided.
4612 For example, the declaration of @code{struct Object} in the argument list
4613 of @code{draw} triggers the warning. To avoid it, either remove the redundant
4614 class-key @code{struct} or replace it with @code{class} to match its definition.
4618 virtual ~Object () = 0;
4620 void draw (struct Object*);
4623 It is not wrong to declare a class with the class-key @code{struct} as
4624 the example above shows. The @option{-Wmismatched-tags} option is intended
4625 to help achieve a consistent style of class declarations. In code that is
4626 intended to be portable to Windows-based compilers the warning helps prevent
4627 unresolved references due to the difference in the mangling of symbols
4628 declared with different class-keys. The option can be used either on its
4629 own or in conjunction with @option{-Wredundant-tags}.
4631 @opindex Wmultiple-inheritance
4632 @opindex Wno-multiple-inheritance
4633 @item -Wmultiple-inheritance @r{(C++ and Objective-C++ only)}
4634 Warn when a class is defined with multiple direct base classes. Some
4635 coding rules disallow multiple inheritance, and this may be used to
4636 enforce that rule. The warning is inactive inside a system header file,
4637 such as the STL, so one can still use the STL. One may also define
4638 classes that indirectly use multiple inheritance.
4640 @opindex Wvirtual-inheritance
4641 @opindex Wno-virtual-inheritance
4642 @item -Wvirtual-inheritance
4643 Warn when a class is defined with a virtual direct base class. Some
4644 coding rules disallow multiple inheritance, and this may be used to
4645 enforce that rule. The warning is inactive inside a system header file,
4646 such as the STL, so one can still use the STL. One may also define
4647 classes that indirectly use virtual inheritance.
4649 @opindex Wvirtual-move-assign
4650 @opindex Wno-virtual-move-assign
4651 @item -Wno-virtual-move-assign
4652 Suppress warnings about inheriting from a virtual base with a
4653 non-trivial C++11 move assignment operator. This is dangerous because
4654 if the virtual base is reachable along more than one path, it is
4655 moved multiple times, which can mean both objects end up in the
4656 moved-from state. If the move assignment operator is written to avoid
4657 moving from a moved-from object, this warning can be disabled.
4659 @opindex Wnamespaces
4660 @opindex Wno-namespaces
4662 Warn when a namespace definition is opened. Some coding rules disallow
4663 namespaces, and this may be used to enforce that rule. The warning is
4664 inactive inside a system header file, such as the STL, so one can still
4665 use the STL. One may also use using directives and qualified names.
4667 @opindex Wtemplate-body
4668 @opindex Wno-template-body
4669 @item -Wno-template-body @r{(C++ and Objective-C++ only)}
4670 Disable diagnosing errors when parsing a template, and instead issue an
4671 error only upon instantiation of the template. This flag can also be
4672 used to downgrade such errors into warnings with @option{Wno-error=} or
4673 @option{-fpermissive}.
4675 @opindex Wtemplate-id-cdtor
4676 @opindex Wno-template-id-cdtor
4677 @item -Wno-template-id-cdtor @r{(C++ and Objective-C++ only)}
4678 Disable the warning about the use of simple-template-id as the declarator-id
4679 of a constructor or destructor, which became invalid in C++20 via DR 2237.
4683 template<typename T> struct S @{
4684 S<T>(); // should be S();
4685 ~S<T>(); // should be ~S();
4689 @option{-Wtemplate-id-cdtor} is enabled by default with
4690 @option{-std=c++20}; it is also enabled by @option{-Wc++20-compat}.
4693 @opindex Wno-terminate
4694 @item -Wno-terminate @r{(C++ and Objective-C++ only)}
4695 Disable the warning about a throw-expression that will immediately
4696 result in a call to @code{terminate}.
4698 @opindex Wvexing-parse
4699 @opindex Wno-vexing-parse
4700 @item -Wno-vexing-parse @r{(C++ and Objective-C++ only)}
4701 Warn about the most vexing parse syntactic ambiguity. This warns about
4702 the cases when a declaration looks like a variable definition, but the
4703 C++ language requires it to be interpreted as a function declaration.
4708 int i(); // extern int i (void);
4709 int n(int(a)); // extern int n (int);
4716 struct S @{ S(int); @};
4718 S x(int(a)); // extern struct S x (int);
4719 S y(int()); // extern struct S y (int (*) (void));
4720 S z(); // extern struct S z (void);
4724 The warning will suggest options how to deal with such an ambiguity; e.g.,
4725 it can suggest removing the parentheses or using braces instead.
4727 This warning is enabled by default.
4729 @opindex Wno-class-conversion
4730 @opindex Wclass-conversion
4731 @item -Wno-class-conversion @r{(C++ and Objective-C++ only)}
4732 Do not warn when a conversion function converts an
4733 object to the same type, to a base class of that type, or to void; such
4734 a conversion function will never be called.
4737 @opindex Wno-volatile
4738 @item -Wvolatile @r{(C++ and Objective-C++ only)}
4739 Warn about deprecated uses of the @code{volatile} qualifier. This includes
4740 postfix and prefix @code{++} and @code{--} expressions of
4741 @code{volatile}-qualified types, using simple assignments where the left
4742 operand is a @code{volatile}-qualified non-class type for their value,
4743 compound assignments where the left operand is a @code{volatile}-qualified
4744 non-class type, @code{volatile}-qualified function return type,
4745 @code{volatile}-qualified parameter type, and structured bindings of a
4746 @code{volatile}-qualified type. This usage was deprecated in C++20.
4748 Enabled by default with @option{-std=c++20}. Before
4749 @option{-std=c++20}, enabled with explicit @option{-Wdeprecated}.
4751 @opindex Wzero-as-null-pointer-constant
4752 @opindex Wno-zero-as-null-pointer-constant
4753 @item -Wzero-as-null-pointer-constant @r{(C++ and Objective-C++ only)}
4754 Warn when a literal @samp{0} is used as null pointer constant. This can
4755 be useful to facilitate the conversion to @code{nullptr} in C++11.
4757 @opindex Waligned-new
4758 @opindex Wno-aligned-new
4760 Warn about a new-expression of a type that requires greater alignment
4761 than the @code{alignof(std::max_align_t)} but uses an allocation
4762 function without an explicit alignment parameter. This option is
4763 enabled by @option{-Wall}.
4765 Normally this only warns about global allocation functions, but
4766 @option{-Waligned-new=all} also warns about class member allocation
4769 @opindex Wplacement-new
4770 @opindex Wno-placement-new
4771 @item -Wno-placement-new
4772 @itemx -Wplacement-new=@var{n}
4773 Warn about placement new expressions with undefined behavior, such as
4774 constructing an object in a buffer that is smaller than the type of
4775 the object. For example, the placement new expression below is diagnosed
4776 because it attempts to construct an array of 64 integers in a buffer only
4782 This warning is enabled by default.
4785 @item -Wplacement-new=1
4786 This is the default warning level of @option{-Wplacement-new}. At this
4787 level the warning is not issued for some strictly undefined constructs that
4788 GCC allows as extensions for compatibility with legacy code. For example,
4789 the following @code{new} expression is not diagnosed at this level even
4790 though it has undefined behavior according to the C++ standard because
4791 it writes past the end of the one-element array.
4793 struct S @{ int n, a[1]; @};
4794 S *s = (S *)malloc (sizeof *s + 31 * sizeof s->a[0]);
4795 new (s->a)int [32]();
4798 @item -Wplacement-new=2
4799 At this level, in addition to diagnosing all the same constructs as at level
4800 1, a diagnostic is also issued for placement new expressions that construct
4801 an object in the last member of structure whose type is an array of a single
4802 element and whose size is less than the size of the object being constructed.
4803 While the previous example would be diagnosed, the following construct makes
4804 use of the flexible member array extension to avoid the warning at level 2.
4806 struct S @{ int n, a[]; @};
4807 S *s = (S *)malloc (sizeof *s + 32 * sizeof s->a[0]);
4808 new (s->a)int [32]();
4813 @opindex Wcatch-value
4814 @opindex Wno-catch-value
4816 @itemx -Wcatch-value=@var{n} @r{(C++ and Objective-C++ only)}
4817 Warn about catch handlers that do not catch via reference.
4818 With @option{-Wcatch-value=1} (or @option{-Wcatch-value} for short)
4819 warn about polymorphic class types that are caught by value.
4820 With @option{-Wcatch-value=2} warn about all class types that are caught
4821 by value. With @option{-Wcatch-value=3} warn about all types that are
4822 not caught by reference. @option{-Wcatch-value} is enabled by @option{-Wall}.
4824 @opindex Wconditionally-supported
4825 @opindex Wno-conditionally-supported
4826 @item -Wconditionally-supported @r{(C++ and Objective-C++ only)}
4827 Warn for conditionally-supported (C++11 [intro.defs]) constructs.
4829 @opindex Wdefaulted-function-deleted
4830 @opindex Wno-defaulted-function-deleted
4831 @item -Wno-defaulted-function-deleted @r{(C++ and Objective-C++ only)}
4832 Warn when an explicitly defaulted function is deleted by the compiler.
4833 That can occur when the function's declared type does not match the type
4834 of the function that would have been implicitly declared. This warning
4835 is enabled by default.
4837 @opindex Wdelete-incomplete
4838 @opindex Wno-delete-incomplete
4839 @item -Wno-delete-incomplete @r{(C++ and Objective-C++ only)}
4840 Do not warn when deleting a pointer to incomplete type, which may cause
4841 undefined behavior at runtime. This warning is enabled by default.
4843 @opindex Wextra-semi
4844 @opindex Wno-extra-semi
4845 @item -Wextra-semi @r{(C++, Objective-C++ only)}
4846 Warn about redundant semicolons. There are various contexts in which an extra
4847 semicolon can occur. One is a semicolon after in-class function definitions,
4848 which is valid in all C++ dialects (and is never a pedwarn):
4856 Another is an extra semicolon at namespace scope, which has been allowed
4857 since C++11 (therefore is a pedwarn in C++98):
4865 And yet another is an extra semicolon in class definitions, which has been
4866 allowed since C++11 (therefore is a pedwarn in C++98):
4875 @opindex Wno-global-module
4876 @opindex Wglobal-module
4877 @item -Wno-global-module @r{(C++ and Objective-C++ only)}
4878 Disable the diagnostic for when the global module fragment of a module
4879 unit does not consist only of preprocessor directives.
4881 @opindex Winaccessible-base
4882 @opindex Wno-inaccessible-base
4883 @item -Wno-inaccessible-base @r{(C++, Objective-C++ only)}
4884 This option controls warnings
4885 when a base class is inaccessible in a class derived from it due to
4886 ambiguity. The warning is enabled by default.
4887 Note that the warning for ambiguous virtual
4888 bases is enabled by the @option{-Wextra} option.
4891 struct A @{ int a; @};
4895 struct C : B, A @{ @};
4899 @opindex Winherited-variadic-ctor
4900 @opindex Wno-inherited-variadic-ctor
4901 @item -Wno-inherited-variadic-ctor
4902 Suppress warnings about use of C++11 inheriting constructors when the
4903 base class inherited from has a C variadic constructor; the warning is
4904 on by default because the ellipsis is not inherited.
4906 @opindex Wno-invalid-offsetof
4907 @opindex Winvalid-offsetof
4908 @item -Wno-invalid-offsetof @r{(C++ and Objective-C++ only)}
4909 Suppress warnings from applying the @code{offsetof} macro to a non-POD
4910 type. According to the 2014 ISO C++ standard, applying @code{offsetof}
4911 to a non-standard-layout type is undefined. In existing C++ implementations,
4912 however, @code{offsetof} typically gives meaningful results.
4913 This flag is for users who are aware that they are
4914 writing nonportable code and who have deliberately chosen to ignore the
4917 The restrictions on @code{offsetof} may be relaxed in a future version
4918 of the C++ standard.
4920 @opindex Wsized-deallocation
4921 @opindex Wno-sized-deallocation
4922 @item -Wsized-deallocation @r{(C++ and Objective-C++ only)}
4923 Warn about a definition of an unsized deallocation function
4925 void operator delete (void *) noexcept;
4926 void operator delete[] (void *) noexcept;
4928 without a definition of the corresponding sized deallocation function
4930 void operator delete (void *, std::size_t) noexcept;
4931 void operator delete[] (void *, std::size_t) noexcept;
4933 or vice versa. Enabled by @option{-Wextra} along with
4934 @option{-fsized-deallocation}.
4936 @opindex Wno-suggest-final-types
4937 @opindex Wsuggest-final-types
4938 @item -Wsuggest-final-types
4939 Warn about types with virtual methods where code quality would be improved
4940 if the type were declared with the C++11 @code{final} specifier,
4942 declared in an anonymous namespace. This allows GCC to more aggressively
4943 devirtualize the polymorphic calls. This warning is more effective with
4944 link-time optimization,
4945 where the information about the class hierarchy graph is
4948 @opindex Wno-suggest-final-methods
4949 @opindex Wsuggest-final-methods
4950 @item -Wsuggest-final-methods
4951 Warn about virtual methods where code quality would be improved if the method
4952 were declared with the C++11 @code{final} specifier,
4953 or, if possible, its type were
4954 declared in an anonymous namespace or with the @code{final} specifier.
4956 more effective with link-time optimization, where the information about the
4957 class hierarchy graph is more complete. It is recommended to first consider
4958 suggestions of @option{-Wsuggest-final-types} and then rebuild with new
4961 @opindex Wsuggest-override
4962 @opindex Wno-suggest-override
4963 @item -Wsuggest-override
4964 Warn about overriding virtual functions that are not marked with the
4965 @code{override} keyword.
4967 @opindex Wconversion-null
4968 @opindex Wno-conversion-null
4969 @item -Wno-conversion-null @r{(C++ and Objective-C++ only)}
4970 Do not warn for conversions between @code{NULL} and non-pointer
4971 types. @option{-Wconversion-null} is enabled by default.
4975 @node Objective-C and Objective-C++ Dialect Options
4976 @section Options Controlling Objective-C and Objective-C++ Dialects
4978 @cindex compiler options, Objective-C and Objective-C++
4979 @cindex Objective-C and Objective-C++ options, command-line
4980 @cindex options, Objective-C and Objective-C++
4981 (NOTE: This manual does not describe the Objective-C and Objective-C++
4982 languages themselves. @xref{Standards,,Language Standards
4983 Supported by GCC}, for references.)
4985 This section describes the command-line options that are only meaningful
4986 for Objective-C and Objective-C++ programs. You can also use most of
4987 the language-independent GNU compiler options.
4988 For example, you might compile a file @file{some_class.m} like this:
4991 gcc -g -fgnu-runtime -O -c some_class.m
4995 In this example, @option{-fgnu-runtime} is an option meant only for
4996 Objective-C and Objective-C++ programs; you can use the other options with
4997 any language supported by GCC@.
4999 Note that since Objective-C is an extension of the C language, Objective-C
5000 compilations may also use options specific to the C front-end (e.g.,
5001 @option{-Wtraditional}). Similarly, Objective-C++ compilations may use
5002 C++-specific options (e.g., @option{-Wabi}).
5004 Here is a list of options that are @emph{only} for compiling Objective-C
5005 and Objective-C++ programs:
5008 @opindex fconstant-string-class
5009 @item -fconstant-string-class=@var{class-name}
5010 Use @var{class-name} as the name of the class to instantiate for each
5011 literal string specified with the syntax @code{@@"@dots{}"}. The default
5012 class name is @code{NXConstantString} if the GNU runtime is being used, and
5013 @code{NSConstantString} if the NeXT runtime is being used (see below). On
5014 Darwin / macOS platforms, the @option{-fconstant-cfstrings} option, if
5015 also present, overrides the @option{-fconstant-string-class} setting and cause
5016 @code{@@"@dots{}"} literals to be laid out as constant CoreFoundation strings.
5017 Note that @option{-fconstant-cfstrings} is an alias for the target-specific
5018 @option{-mconstant-cfstrings} equivalent.
5020 @opindex fgnu-runtime
5022 Generate object code compatible with the standard GNU Objective-C
5023 runtime. This is the default for most types of systems.
5025 @opindex fnext-runtime
5026 @item -fnext-runtime
5027 Generate output compatible with the NeXT runtime. This is the default
5028 for NeXT-based systems, including Darwin / macOS. The macro
5029 @code{__NEXT_RUNTIME__} is predefined if (and only if) this option is
5032 @opindex fno-nil-receivers
5033 @opindex fnil-receivers
5034 @item -fno-nil-receivers
5035 Assume that all Objective-C message dispatches (@code{[receiver
5036 message:arg]}) in this translation unit ensure that the receiver is
5037 not @code{nil}. This allows for more efficient entry points in the
5038 runtime to be used. This option is only available in conjunction with
5039 the NeXT runtime and ABI version 0 or 1.
5041 @opindex fobjc-abi-version
5042 @item -fobjc-abi-version=@var{n}
5043 Use version @var{n} of the Objective-C ABI for the selected runtime.
5044 This option is currently supported only for the NeXT runtime. In that
5045 case, Version 0 is the traditional (32-bit) ABI without support for
5046 properties and other Objective-C 2.0 additions. Version 1 is the
5047 traditional (32-bit) ABI with support for properties and other
5048 Objective-C 2.0 additions. Version 2 is the modern (64-bit) ABI. If
5049 nothing is specified, the default is Version 0 on 32-bit target
5050 machines, and Version 2 on 64-bit target machines.
5052 @opindex fobjc-call-cxx-cdtors
5053 @item -fobjc-call-cxx-cdtors
5054 For each Objective-C class, check if any of its instance variables is a
5055 C++ object with a non-trivial default constructor. If so, synthesize a
5056 special @code{- (id) .cxx_construct} instance method which runs
5057 non-trivial default constructors on any such instance variables, in order,
5058 and then return @code{self}. Similarly, check if any instance variable
5059 is a C++ object with a non-trivial destructor, and if so, synthesize a
5060 special @code{- (void) .cxx_destruct} method which runs
5061 all such default destructors, in reverse order.
5063 The @code{- (id) .cxx_construct} and @code{- (void) .cxx_destruct}
5064 methods thusly generated only operate on instance variables
5065 declared in the current Objective-C class, and not those inherited
5066 from superclasses. It is the responsibility of the Objective-C
5067 runtime to invoke all such methods in an object's inheritance
5068 hierarchy. The @code{- (id) .cxx_construct} methods are invoked
5069 by the runtime immediately after a new object instance is allocated;
5070 the @code{- (void) .cxx_destruct} methods are invoked immediately
5071 before the runtime deallocates an object instance.
5073 As of this writing, only the NeXT runtime on Mac OS X 10.4 and later has
5074 support for invoking the @code{- (id) .cxx_construct} and
5075 @code{- (void) .cxx_destruct} methods.
5077 @opindex fobjc-direct-dispatch
5078 @item -fobjc-direct-dispatch
5079 Allow fast jumps to the message dispatcher. On Darwin this is
5080 accomplished via the comm page.
5082 @opindex fobjc-exceptions
5083 @item -fobjc-exceptions
5084 Enable syntactic support for structured exception handling in
5085 Objective-C, similar to what is offered by C++. This option
5086 is required to use the Objective-C keywords @code{@@try},
5087 @code{@@throw}, @code{@@catch}, @code{@@finally} and
5088 @code{@@synchronized}. This option is available with both the GNU
5089 runtime and the NeXT runtime (but not available in conjunction with
5090 the NeXT runtime on Mac OS X 10.2 and earlier).
5094 Enable garbage collection (GC) in Objective-C and Objective-C++
5095 programs. This option is only available with the NeXT runtime; the
5096 GNU runtime has a different garbage collection implementation that
5097 does not require special compiler flags.
5099 @opindex fobjc-nilcheck
5100 @item -fobjc-nilcheck
5101 For the NeXT runtime with version 2 of the ABI, check for a nil
5102 receiver in method invocations before doing the actual method call.
5103 This is the default and can be disabled using
5104 @option{-fno-objc-nilcheck}. Class methods and super calls are never
5105 checked for nil in this way no matter what this flag is set to.
5106 Currently this flag does nothing when the GNU runtime, or an older
5107 version of the NeXT runtime ABI, is used.
5110 @item -fobjc-std=objc1
5111 Conform to the language syntax of Objective-C 1.0, the language
5112 recognized by GCC 4.0. This only affects the Objective-C additions to
5113 the C/C++ language; it does not affect conformance to C/C++ standards,
5114 which is controlled by the separate C/C++ dialect option flags. When
5115 this option is used with the Objective-C or Objective-C++ compiler,
5116 any Objective-C syntax that is not recognized by GCC 4.0 is rejected.
5117 This is useful if you need to make sure that your Objective-C code can
5118 be compiled with older versions of GCC@.
5120 @opindex freplace-objc-classes
5121 @item -freplace-objc-classes
5122 Emit a special marker instructing @command{ld(1)} not to statically link in
5123 the resulting object file, and allow @command{dyld(1)} to load it in at
5124 run time instead. This is used in conjunction with the Fix-and-Continue
5125 debugging mode, where the object file in question may be recompiled and
5126 dynamically reloaded in the course of program execution, without the need
5127 to restart the program itself. Currently, Fix-and-Continue functionality
5128 is only available in conjunction with the NeXT runtime on Mac OS X 10.3
5133 When compiling for the NeXT runtime, the compiler ordinarily replaces calls
5134 to @code{objc_getClass("@dots{}")} (when the name of the class is known at
5135 compile time) with static class references that get initialized at load time,
5136 which improves run-time performance. Specifying the @option{-fzero-link} flag
5137 suppresses this behavior and causes calls to @code{objc_getClass("@dots{}")}
5138 to be retained. This is useful in Zero-Link debugging mode, since it allows
5139 for individual class implementations to be modified during program execution.
5140 The GNU runtime currently always retains calls to @code{objc_get_class("@dots{}")}
5141 regardless of command-line options.
5143 @opindex fno-local-ivars
5144 @opindex flocal-ivars
5145 @item -fno-local-ivars
5146 By default instance variables in Objective-C can be accessed as if
5147 they were local variables from within the methods of the class they're
5148 declared in. This can lead to shadowing between instance variables
5149 and other variables declared either locally inside a class method or
5150 globally with the same name. Specifying the @option{-fno-local-ivars}
5151 flag disables this behavior thus avoiding variable shadowing issues.
5153 @opindex fivar-visibility
5154 @item -fivar-visibility=@r{[}public@r{|}protected@r{|}private@r{|}package@r{]}
5155 Set the default instance variable visibility to the specified option
5156 so that instance variables declared outside the scope of any access
5157 modifier directives default to the specified visibility.
5161 Dump interface declarations for all classes seen in the source file to a
5162 file named @file{@var{sourcename}.decl}.
5164 @opindex Wassign-intercept
5165 @opindex Wno-assign-intercept
5166 @item -Wassign-intercept @r{(Objective-C and Objective-C++ only)}
5167 Warn whenever an Objective-C assignment is being intercepted by the
5170 @opindex Wproperty-assign-default
5171 @opindex Wno-property-assign-default
5172 @item -Wno-property-assign-default @r{(Objective-C and Objective-C++ only)}
5173 Do not warn if a property for an Objective-C object has no assign
5174 semantics specified.
5176 @opindex Wno-protocol
5178 @item -Wno-protocol @r{(Objective-C and Objective-C++ only)}
5179 If a class is declared to implement a protocol, a warning is issued for
5180 every method in the protocol that is not implemented by the class. The
5181 default behavior is to issue a warning for every method not explicitly
5182 implemented in the class, even if a method implementation is inherited
5183 from the superclass. If you use the @option{-Wno-protocol} option, then
5184 methods inherited from the superclass are considered to be implemented,
5185 and no warning is issued for them.
5187 @opindex Wobjc-root-class
5188 @item -Wobjc-root-class @r{(Objective-C and Objective-C++ only)}
5189 Warn if a class interface lacks a superclass. Most classes will inherit
5190 from @code{NSObject} (or @code{Object}) for example. When declaring
5191 classes intended to be root classes, the warning can be suppressed by
5192 marking their interfaces with @code{__attribute__((objc_root_class))}.
5195 @opindex Wno-selector
5196 @item -Wselector @r{(Objective-C and Objective-C++ only)}
5197 Warn if multiple methods of different types for the same selector are
5198 found during compilation. The check is performed on the list of methods
5199 in the final stage of compilation. Additionally, a check is performed
5200 for each selector appearing in a @code{@@selector(@dots{})}
5201 expression, and a corresponding method for that selector has been found
5202 during compilation. Because these checks scan the method table only at
5203 the end of compilation, these warnings are not produced if the final
5204 stage of compilation is not reached, for example because an error is
5205 found during compilation, or because the @option{-fsyntax-only} option is
5208 @opindex Wstrict-selector-match
5209 @opindex Wno-strict-selector-match
5210 @item -Wstrict-selector-match @r{(Objective-C and Objective-C++ only)}
5211 Warn if multiple methods with differing argument and/or return types are
5212 found for a given selector when attempting to send a message using this
5213 selector to a receiver of type @code{id} or @code{Class}. When this flag
5214 is off (which is the default behavior), the compiler omits such warnings
5215 if any differences found are confined to types that share the same size
5218 @opindex Wundeclared-selector
5219 @opindex Wno-undeclared-selector
5220 @item -Wundeclared-selector @r{(Objective-C and Objective-C++ only)}
5221 Warn if a @code{@@selector(@dots{})} expression referring to an
5222 undeclared selector is found. A selector is considered undeclared if no
5223 method with that name has been declared before the
5224 @code{@@selector(@dots{})} expression, either explicitly in an
5225 @code{@@interface} or @code{@@protocol} declaration, or implicitly in
5226 an @code{@@implementation} section. This option always performs its
5227 checks as soon as a @code{@@selector(@dots{})} expression is found,
5228 while @option{-Wselector} only performs its checks in the final stage of
5229 compilation. This also enforces the coding style convention
5230 that methods and selectors must be declared before being used.
5232 @opindex print-objc-runtime-info
5233 @item -print-objc-runtime-info
5234 Generate C header describing the largest structure that is passed by
5239 @node Diagnostic Message Formatting Options
5240 @section Options to Control Diagnostic Messages Formatting
5241 @cindex options to control diagnostics formatting
5242 @cindex diagnostic messages
5243 @cindex message formatting
5245 Traditionally, diagnostic messages have been formatted irrespective of
5246 the output device's aspect (e.g.@: its width, @dots{}). You can use the
5247 options described below
5248 to control the formatting algorithm for diagnostic messages,
5249 e.g.@: how many characters per line, how often source location
5250 information should be reported. Note that some language front ends may not
5251 honor these options.
5254 @opindex fmessage-length
5255 @item -fmessage-length=@var{n}
5256 Try to format error messages so that they fit on lines of about
5257 @var{n} characters. If @var{n} is zero, then no line-wrapping is
5258 done; each error message appears on a single line. This is the
5259 default for all front ends.
5261 Note - this option also affects the display of the @samp{#error} and
5262 @samp{#warning} pre-processor directives, and the @samp{deprecated}
5263 function/type/variable attribute. It does not however affect the
5264 @samp{pragma GCC warning} and @samp{pragma GCC error} pragmas.
5266 @item -fdiagnostics-plain-output
5267 This option requests that diagnostic output look as plain as possible, which
5268 may be useful when running @command{dejagnu} or other utilities that need to
5269 parse diagnostics output and prefer that it remain more stable over time.
5270 @option{-fdiagnostics-plain-output} is currently equivalent to the following
5272 @gccoptlist{-fno-diagnostics-show-caret
5273 -fno-diagnostics-show-line-numbers
5274 -fdiagnostics-color=never
5275 -fdiagnostics-urls=never
5276 -fdiagnostics-path-format=separate-events
5277 -fdiagnostics-text-art-charset=none
5278 -fno-diagnostics-show-event-links}
5279 In the future, if GCC changes the default appearance of its diagnostics, the
5280 corresponding option to disable the new behavior will be added to this list.
5282 @opindex fdiagnostics-show-location
5283 @item -fdiagnostics-show-location=once
5284 Only meaningful in line-wrapping mode. Instructs the diagnostic messages
5285 reporter to emit source location information @emph{once}; that is, in
5286 case the message is too long to fit on a single physical line and has to
5287 be wrapped, the source location won't be emitted (as prefix) again,
5288 over and over, in subsequent continuation lines. This is the default
5291 @item -fdiagnostics-show-location=every-line
5292 Only meaningful in line-wrapping mode. Instructs the diagnostic
5293 messages reporter to emit the same source location information (as
5294 prefix) for physical lines that result from the process of breaking
5295 a message which is too long to fit on a single line.
5297 @opindex fdiagnostics-color
5298 @cindex highlight, color
5299 @vindex GCC_COLORS @r{environment variable}
5300 @item -fdiagnostics-color[=@var{WHEN}]
5301 @itemx -fno-diagnostics-color
5302 Use color in diagnostics. @var{WHEN} is @samp{never}, @samp{always},
5303 or @samp{auto}. The default depends on how the compiler has been configured,
5304 it can be any of the above @var{WHEN} options or also @samp{never}
5305 if @env{GCC_COLORS} environment variable isn't present in the environment,
5306 and @samp{auto} otherwise.
5307 @samp{auto} makes GCC use color only when the standard error is a terminal,
5308 and when not executing in an emacs shell.
5309 The forms @option{-fdiagnostics-color} and @option{-fno-diagnostics-color} are
5310 aliases for @option{-fdiagnostics-color=always} and
5311 @option{-fdiagnostics-color=never}, respectively.
5313 The colors are defined by the environment variable @env{GCC_COLORS}.
5314 Its value is a colon-separated list of capabilities and Select Graphic
5315 Rendition (SGR) substrings. SGR commands are interpreted by the
5316 terminal or terminal emulator. (See the section in the documentation
5317 of your text terminal for permitted values and their meanings as
5318 character attributes.) These substring values are integers in decimal
5319 representation and can be concatenated with semicolons.
5320 Common values to concatenate include
5322 @samp{4} for underline,
5324 @samp{7} for inverse,
5325 @samp{39} for default foreground color,
5326 @samp{30} to @samp{37} for foreground colors,
5327 @samp{90} to @samp{97} for 16-color mode foreground colors,
5328 @samp{38;5;0} to @samp{38;5;255}
5329 for 88-color and 256-color modes foreground colors,
5330 @samp{49} for default background color,
5331 @samp{40} to @samp{47} for background colors,
5332 @samp{100} to @samp{107} for 16-color mode background colors,
5333 and @samp{48;5;0} to @samp{48;5;255}
5334 for 88-color and 256-color modes background colors.
5336 The default @env{GCC_COLORS} is
5338 error=01;31:warning=01;35:note=01;36:range1=32:range2=34:locus=01:\
5339 quote=01:path=01;36:fixit-insert=32:fixit-delete=31:\
5340 diff-filename=01:diff-hunk=32:diff-delete=31:diff-insert=32:\
5341 type-diff=01;32:fnname=01;32:targs=35:valid=01;31:invalid=01;32\
5342 highlight-a=01;32:highlight-b=01;34
5345 where @samp{01;31} is bold red, @samp{01;35} is bold magenta,
5346 @samp{01;36} is bold cyan, @samp{32} is green, @samp{34} is blue,
5347 @samp{01} is bold, and @samp{31} is red.
5348 Setting @env{GCC_COLORS} to the empty string disables colors.
5349 Supported capabilities are as follows.
5352 @vindex error GCC_COLORS @r{capability}
5354 SGR substring for error: markers.
5356 @vindex warning GCC_COLORS @r{capability}
5358 SGR substring for warning: markers.
5360 @vindex note GCC_COLORS @r{capability}
5362 SGR substring for note: markers.
5364 @vindex path GCC_COLORS @r{capability}
5366 SGR substring for colorizing paths of control-flow events as printed
5367 via @option{-fdiagnostics-path-format=}, such as the identifiers of
5368 individual events and lines indicating interprocedural calls and returns.
5370 @vindex range1 GCC_COLORS @r{capability}
5372 SGR substring for first additional range.
5374 @vindex range2 GCC_COLORS @r{capability}
5376 SGR substring for second additional range.
5378 @vindex locus GCC_COLORS @r{capability}
5380 SGR substring for location information, @samp{file:line} or
5381 @samp{file:line:column} etc.
5383 @vindex quote GCC_COLORS @r{capability}
5385 SGR substring for information printed within quotes.
5387 @vindex fnname GCC_COLORS @r{capability}
5389 SGR substring for names of C++ functions.
5391 @vindex targs GCC_COLORS @r{capability}
5393 SGR substring for C++ function template parameter bindings.
5395 @vindex fixit-insert GCC_COLORS @r{capability}
5397 SGR substring for fix-it hints suggesting text to
5398 be inserted or replaced.
5400 @vindex fixit-delete GCC_COLORS @r{capability}
5402 SGR substring for fix-it hints suggesting text to
5405 @vindex diff-filename GCC_COLORS @r{capability}
5406 @item diff-filename=
5407 SGR substring for filename headers within generated patches.
5409 @vindex diff-hunk GCC_COLORS @r{capability}
5411 SGR substring for the starts of hunks within generated patches.
5413 @vindex diff-delete GCC_COLORS @r{capability}
5415 SGR substring for deleted lines within generated patches.
5417 @vindex diff-insert GCC_COLORS @r{capability}
5419 SGR substring for inserted lines within generated patches.
5421 @vindex type-diff GCC_COLORS @r{capability}
5423 SGR substring for highlighting mismatching types within template
5424 arguments in the C++ frontend.
5426 @vindex valid GCC_COLORS @r{capability}
5428 SGR substring for highlighting valid elements within text art diagrams.
5430 @vindex invalid GCC_COLORS @r{capability}
5432 SGR substring for highlighting invalid elements within text art diagrams.
5434 @vindex highlight-a GCC_COLORS @r{capability}
5435 @vindex highlight-b GCC_COLORS @r{capability}
5438 SGR substrings for contrasting two different things within diagnostics,
5439 such as a pair of mismatching types.
5440 See @option{-fdiagnostics-show-highlight-colors}.
5443 @opindex fdiagnostics-urls
5445 @vindex GCC_URLS @r{environment variable}
5446 @vindex TERM_URLS @r{environment variable}
5447 @item -fdiagnostics-urls[=@var{WHEN}]
5448 Use escape sequences to embed URLs in diagnostics. For example, when
5449 @option{-fdiagnostics-show-option} emits text showing the command-line
5450 option controlling a diagnostic, embed a URL for documentation of that
5453 @var{WHEN} is @samp{never}, @samp{always}, or @samp{auto}.
5454 @samp{auto} makes GCC use URL escape sequences only when the standard error
5455 is a terminal, and when not executing in an emacs shell or any graphical
5456 terminal which is known to be incompatible with this feature, see below.
5458 The default depends on how the compiler has been configured.
5459 It can be any of the above @var{WHEN} options.
5461 GCC can also be configured (via the
5462 @option{--with-diagnostics-urls=auto-if-env} configure-time option)
5463 so that the default is affected by environment variables.
5464 Under such a configuration, GCC defaults to using @samp{auto}
5465 if either @env{GCC_URLS} or @env{TERM_URLS} environment variables are
5466 present and non-empty in the environment of the compiler, or @samp{never}
5469 However, even with @option{-fdiagnostics-urls=always} the behavior is
5470 dependent on those environment variables:
5471 If @env{GCC_URLS} is set to empty or @samp{no}, do not embed URLs in
5472 diagnostics. If set to @samp{st}, URLs use ST escape sequences.
5473 If set to @samp{bel}, the default, URLs use BEL escape sequences.
5474 Any other non-empty value enables the feature.
5475 If @env{GCC_URLS} is not set, use @env{TERM_URLS} as a fallback.
5476 Note: ST is an ANSI escape sequence, string terminator @samp{ESC \},
5477 BEL is an ASCII character, CTRL-G that usually sounds like a beep.
5479 At this time GCC tries to detect also a few terminals that are known to
5480 not implement the URL feature, and have bugs or at least had bugs in
5481 some versions that are still in use, where the URL escapes are likely
5482 to misbehave, i.e. print garbage on the screen.
5483 That list is currently xfce4-terminal, certain known to be buggy
5484 gnome-terminal versions, the linux console, and mingw.
5485 This check can be skipped with the @option{-fdiagnostics-urls=always}.
5487 @opindex fno-diagnostics-show-option
5488 @opindex fdiagnostics-show-option
5489 @item -fno-diagnostics-show-option
5490 By default, each diagnostic emitted includes text indicating the
5491 command-line option that directly controls the diagnostic (if such an
5492 option is known to the diagnostic machinery). Specifying the
5493 @option{-fno-diagnostics-show-option} flag suppresses that behavior.
5495 @opindex fno-diagnostics-show-caret
5496 @opindex fdiagnostics-show-caret
5497 @item -fno-diagnostics-show-caret
5498 By default, each diagnostic emitted includes the original source line
5499 and a caret @samp{^} indicating the column. This option suppresses this
5500 information. The source line is truncated to @var{n} characters, if
5501 the @option{-fmessage-length=n} option is given. When the output is done
5502 to the terminal, the width is limited to the width given by the
5503 @env{COLUMNS} environment variable or, if not set, to the terminal width.
5505 @opindex fno-diagnostics-show-labels
5506 @opindex fdiagnostics-show-labels
5507 @item -fno-diagnostics-show-labels
5508 By default, when printing source code (via @option{-fdiagnostics-show-caret}),
5509 diagnostics can label ranges of source code with pertinent information, such
5510 as the types of expressions:
5513 printf ("foo %s bar", long_i + long_j);
5519 This option suppresses the printing of these labels (in the example above,
5520 the vertical bars and the ``char *'' and ``long int'' text).
5522 @opindex fno-diagnostics-show-event-links
5523 @opindex fdiagnostics-show-event-links
5524 @item -fno-diagnostics-show-event-links
5525 By default, when printing execution paths (via
5526 @option{-fdiagnostics-path-format=inline-events}), GCC will print lines
5527 connecting related events, such as the line connecting events 1 and 2 in:
5533 | (1) following `false' branch (when `p' is NULL)... ->-+
5536 |+------------------------------------------------------------+
5541 |+-------->(2) ...to here
5542 | (3) dereference of NULL `p'
5545 This option suppresses the printing of such connector lines.
5547 @opindex fno-diagnostics-show-cwe
5548 @opindex fdiagnostics-show-cwe
5549 @item -fno-diagnostics-show-cwe
5550 Diagnostic messages can optionally have an associated
5551 @uref{https://cwe.mitre.org/index.html, CWE} identifier.
5552 GCC itself only provides such metadata for some of the @option{-fanalyzer}
5553 diagnostics. GCC plugins may also provide diagnostics with such metadata.
5554 By default, if this information is present, it will be printed with
5555 the diagnostic. This option suppresses the printing of this metadata.
5557 @opindex fno-diagnostics-show-rules
5558 @opindex fdiagnostics-show-rules
5559 @item -fno-diagnostics-show-rules
5560 Diagnostic messages can optionally have rules associated with them, such
5561 as from a coding standard, or a specification.
5562 GCC itself does not do this for any of its diagnostics, but plugins may do so.
5563 By default, if this information is present, it will be printed with
5564 the diagnostic. This option suppresses the printing of this metadata.
5566 @opindex fno-diagnostics-show-highlight-colors
5567 @opindex fdiagnostics-show-highlight-colors
5568 @item -fno-diagnostics-show-highlight-colors
5570 GCC can use color for emphasis and contrast when printing diagnostic
5571 messages and quoting the user's source.
5576 demo.c: In function `test_bad_format_string_args':
5577 ../../src/demo.c:25:18: warning: format `%i' expects argument of type `int', but argument 2 has type `const char *' [-Wformat=]
5578 25 | printf("hello %i", msg);
5587 the @code{%i} and @code{int} in the message and the @code{int} in the
5588 quoted source are colored using @code{highlight-a} (bold green by default),
5591 the @code{const char *} in the message and in the quoted source are both
5592 colored using @code{highlight-b} (bold blue by default).
5595 The intent is to draw the reader's eyes to the relationships between the
5596 various aspects of the diagnostic message and the source, using color
5597 to group related elements and distinguish between mismatching ones.
5599 This additional colorization is enabled by default if color printing
5600 is enabled (as per @option{-fdiagnostics-color=}), but it can be separately
5601 disabled via @option{-fno-diagnostics-show-highlight-colors}.
5603 @opindex fno-diagnostics-show-line-numbers
5604 @opindex fdiagnostics-show-line-numbers
5605 @item -fno-diagnostics-show-line-numbers
5606 By default, when printing source code (via @option{-fdiagnostics-show-caret}),
5607 a left margin is printed, showing line numbers. This option suppresses this
5610 @opindex fdiagnostics-minimum-margin-width
5611 @item -fdiagnostics-minimum-margin-width=@var{width}
5612 This option controls the minimum width of the left margin printed by
5613 @option{-fdiagnostics-show-line-numbers}. It defaults to 6.
5615 @opindex fdiagnostics-parseable-fixits
5616 @item -fdiagnostics-parseable-fixits
5617 Emit fix-it hints in a machine-parseable format, suitable for consumption
5618 by IDEs. For each fix-it, a line will be printed after the relevant
5619 diagnostic, starting with the string ``fix-it:''. For example:
5622 fix-it:"test.c":@{45:3-45:21@}:"gtk_widget_show_all"
5625 The location is expressed as a half-open range, expressed as a count of
5626 bytes, starting at byte 1 for the initial column. In the above example,
5627 bytes 3 through 20 of line 45 of ``test.c'' are to be replaced with the
5631 00000000011111111112222222222
5632 12345678901234567890123456789
5633 gtk_widget_showall (dlg);
5638 The filename and replacement string escape backslash as ``\\", tab as ``\t'',
5639 newline as ``\n'', double quotes as ``\"'', non-printable characters as octal
5640 (e.g. vertical tab as ``\013'').
5642 An empty replacement string indicates that the given range is to be removed.
5643 An empty range (e.g. ``45:3-45:3'') indicates that the string is to
5644 be inserted at the given position.
5646 @opindex fdiagnostics-generate-patch
5647 @item -fdiagnostics-generate-patch
5648 Print fix-it hints to stderr in unified diff format, after any diagnostics
5649 are printed. For example:
5656 void show_cb(GtkDialog *dlg)
5658 - gtk_widget_showall(dlg);
5659 + gtk_widget_show_all(dlg);
5664 The diff may or may not be colorized, following the same rules
5665 as for diagnostics (see @option{-fdiagnostics-color}).
5667 @opindex fdiagnostics-show-template-tree
5668 @item -fdiagnostics-show-template-tree
5670 In the C++ frontend, when printing diagnostics showing mismatching
5671 template types, such as:
5674 could not convert 'std::map<int, std::vector<double> >()'
5675 from 'map<[...],vector<double>>' to 'map<[...],vector<float>>
5678 the @option{-fdiagnostics-show-template-tree} flag enables printing a
5679 tree-like structure showing the common and differing parts of the types,
5689 The parts that differ are highlighted with color (``double'' and
5690 ``float'' in this case).
5692 @opindex fno-elide-type
5693 @opindex felide-type
5694 @item -fno-elide-type
5695 By default when the C++ frontend prints diagnostics showing mismatching
5696 template types, common parts of the types are printed as ``[...]'' to
5697 simplify the error message. For example:
5700 could not convert 'std::map<int, std::vector<double> >()'
5701 from 'map<[...],vector<double>>' to 'map<[...],vector<float>>
5704 Specifying the @option{-fno-elide-type} flag suppresses that behavior.
5705 This flag also affects the output of the
5706 @option{-fdiagnostics-show-template-tree} flag.
5708 @opindex fdiagnostics-path-format
5709 @item -fdiagnostics-path-format=@var{KIND}
5710 Specify how to print paths of control-flow events for diagnostics that
5711 have such a path associated with them.
5713 @var{KIND} is @samp{none}, @samp{separate-events}, or @samp{inline-events},
5716 @samp{none} means to not print diagnostic paths.
5718 @samp{separate-events} means to print a separate ``note'' diagnostic for
5719 each event within the diagnostic. For example:
5722 test.c:29:5: error: passing NULL as argument 1 to 'PyList_Append' which requires a non-NULL parameter
5723 test.c:25:10: note: (1) when 'PyList_New' fails, returning NULL
5724 test.c:27:3: note: (2) when 'i < count'
5725 test.c:29:5: note: (3) when calling 'PyList_Append', passing NULL from (1) as argument 1
5728 @samp{inline-events} means to print the events ``inline'' within the source
5729 code. This view attempts to consolidate the events into runs of
5730 sufficiently-close events, printing them as labelled ranges within the source.
5732 For example, the same events as above might be printed as:
5736 25 | list = PyList_New(0);
5739 | (1) when 'PyList_New' fails, returning NULL
5741 27 | for (i = 0; i < count; i++) @{
5744 | (2) when 'i < count'
5745 28 | item = PyLong_FromLong(random());
5746 29 | PyList_Append(list, item);
5747 | ~~~~~~~~~~~~~~~~~~~~~~~~~
5749 | (3) when calling 'PyList_Append', passing NULL from (1) as argument 1
5752 Interprocedural control flow is shown by grouping the events by stack frame,
5753 and using indentation to show how stack frames are nested, pushed, and popped.
5763 | | (1) entering 'test'
5764 | 134 | boxed_int *obj = make_boxed_int (i);
5765 | | ~~~~~~~~~~~~~~~~~~
5767 | | (2) calling 'make_boxed_int'
5769 +--> 'make_boxed_int': events 3-4
5774 | | (3) entering 'make_boxed_int'
5775 | 121 | boxed_int *result = (boxed_int *)wrapped_malloc (sizeof (boxed_int));
5776 | | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
5778 | | (4) calling 'wrapped_malloc'
5780 +--> 'wrapped_malloc': events 5-6
5785 | | (5) entering 'wrapped_malloc'
5786 | 8 | return malloc (size);
5789 | | (6) calling 'malloc'
5795 | 138 | free_boxed_int (obj);
5796 | | ^~~~~~~~~~~~~~~~~~~~
5798 | | (7) calling 'free_boxed_int'
5803 @opindex fdiagnostics-show-path-depths
5804 @item -fdiagnostics-show-path-depths
5805 This option provides additional information when printing control-flow paths
5806 associated with a diagnostic.
5808 If this is option is provided then the stack depth will be printed for
5809 each run of events within @option{-fdiagnostics-path-format=inline-events}.
5810 If provided with @option{-fdiagnostics-path-format=separate-events}, then
5811 the stack depth and function declaration will be appended when printing
5814 This is intended for use by GCC developers and plugin developers when
5815 debugging diagnostics that report interprocedural control flow.
5817 @opindex fno-show-column
5818 @opindex fshow-column
5819 @item -fno-show-column
5820 Do not print column numbers in diagnostics. This may be necessary if
5821 diagnostics are being scanned by a program that does not understand the
5822 column numbers, such as @command{dejagnu}.
5824 @opindex fdiagnostics-column-unit
5825 @item -fdiagnostics-column-unit=@var{UNIT}
5826 Select the units for the column number. This affects traditional diagnostics
5827 (in the absence of @option{-fno-show-column}), as well as JSON format
5828 diagnostics if requested.
5830 The default @var{UNIT}, @samp{display}, considers the number of display
5831 columns occupied by each character. This may be larger than the number
5832 of bytes required to encode the character, in the case of tab
5833 characters, or it may be smaller, in the case of multibyte characters.
5834 For example, the character ``GREEK SMALL LETTER PI (U+03C0)'' occupies one
5835 display column, and its UTF-8 encoding requires two bytes; the character
5836 ``SLIGHTLY SMILING FACE (U+1F642)'' occupies two display columns, and
5837 its UTF-8 encoding requires four bytes.
5839 Setting @var{UNIT} to @samp{byte} changes the column number to the raw byte
5840 count in all cases, as was traditionally output by GCC prior to version 11.1.0.
5842 @opindex fdiagnostics-column-origin
5843 @item -fdiagnostics-column-origin=@var{ORIGIN}
5844 Select the origin for column numbers, i.e. the column number assigned to the
5845 first column. The default value of 1 corresponds to traditional GCC
5846 behavior and to the GNU style guide. Some utilities may perform better with an
5847 origin of 0; any non-negative value may be specified.
5849 @opindex fdiagnostics-escape-format
5850 @item -fdiagnostics-escape-format=@var{FORMAT}
5851 When GCC prints pertinent source lines for a diagnostic it normally attempts
5852 to print the source bytes directly. However, some diagnostics relate to encoding
5853 issues in the source file, such as malformed UTF-8, or issues with Unicode
5854 normalization. These diagnostics are flagged so that GCC will escape bytes
5855 that are not printable ASCII when printing their pertinent source lines.
5857 This option controls how such bytes should be escaped.
5859 The default @var{FORMAT}, @samp{unicode} displays Unicode characters that
5860 are not printable ASCII in the form @samp{<U+XXXX>}, and bytes that do not
5861 correspond to a Unicode character validly-encoded in UTF-8-encoded will be
5862 displayed as hexadecimal in the form @samp{<XX>}.
5864 For example, a source line containing the string @samp{before} followed by the
5865 Unicode character U+03C0 (``GREEK SMALL LETTER PI'', with UTF-8 encoding
5866 0xCF 0x80) followed by the byte 0xBF (a stray UTF-8 trailing byte), followed by
5867 the string @samp{after} will be printed for such a diagnostic as:
5870 before<U+03C0><BF>after
5873 Setting @var{FORMAT} to @samp{bytes} will display all non-printable-ASCII bytes
5874 in the form @samp{<XX>}, thus showing the underlying encoding of non-ASCII
5875 Unicode characters. For the example above, the following will be printed:
5878 before<CF><80><BF>after
5881 @opindex fdiagnostics-text-art-charset
5882 @item -fdiagnostics-text-art-charset=@var{CHARSET}
5883 Some diagnostics can contain ``text art'' diagrams: visualizations created
5884 from text, intended to be viewed in a monospaced font.
5886 This option selects which characters should be used for printing such
5887 diagrams, if any. @var{CHARSET} is @samp{none}, @samp{ascii}, @samp{unicode},
5890 The @samp{none} value suppresses the printing of such diagrams.
5891 The @samp{ascii} value will ensure that such diagrams are pure ASCII
5892 (``ASCII art''). The @samp{unicode} value will allow for conservative use of
5893 unicode drawing characters (such as box-drawing characters). The @samp{emoji}
5894 value further adds the possibility of emoji in the output (such as emitting
5895 U+26A0 WARNING SIGN followed by U+FE0F VARIATION SELECTOR-16 to select the
5896 emoji variant of the character).
5898 The default is @samp{emoji}, except when the environment variable @env{LANG}
5899 is set to @samp{C}, in which case the default is @samp{ascii}.
5901 @opindex fdiagnostics-format
5902 @item -fdiagnostics-format=@var{FORMAT}
5903 Select a different format for printing diagnostics.
5904 @var{FORMAT} is @samp{text}, @samp{sarif-stderr}, @samp{sarif-file},
5905 @samp{json}, @samp{json-stderr}, or @samp{json-file}.
5907 Using this option replaces any additional ``output sinks'' added by
5908 @option{-fdiagnostics-add-output=}, or that set by
5909 @option{-fdiagnostics-set-output=}.
5911 The default is @samp{text}.
5913 The @samp{sarif-stderr} and @samp{sarif-file} formats both emit
5914 diagnostics in SARIF Version 2.1.0 format, either to stderr, or to a file
5915 named @file{@var{source}.sarif}, respectively.
5917 The various @samp{json}, @samp{json-stderr}, and @samp{json-file} values
5918 are deprecated and refer to a legacy JSON-based output format.
5919 The @samp{json} format is a synonym for @samp{json-stderr}.
5920 The @samp{json-stderr} and @samp{json-file} formats are identical, apart from
5921 where the JSON is emitted to. With @samp{json-stderr}, the JSON is emitted
5922 to stderr, whereas with @samp{json-file} it is written to
5923 @file{@var{source}.gcc.json}.
5925 @opindex fdiagnostics-add-output
5926 @item -fdiagnostics-add-output=@var{DIAGNOSTICS-OUTPUT-SPEC}
5927 Add an additional ``output sink'' for emitting diagnostics.
5929 @var{DIAGNOSTICS-OUTPUT-SPEC} should specify a scheme, optionally followed
5930 by @code{:} and one or more @var{KEY}=@var{VALUE} pairs, in this form:
5934 @var{SCHEME}:@var{KEY}=@var{VALUE}
5935 @var{SCHEME}:@var{KEY}=@var{VALUE},@var{KEY2}=@var{VALUE2}
5945 Emit diagnostics to stderr using GCC's classic text output format.
5951 @item color=@r{[}yes@r{|}no@r{]}
5952 Override colorization settings from @option{-fdiagnostics-color} for this
5958 Emit diagnostics to a file in SARIF format.
5964 @item file=@var{FILENAME}
5965 Specify the filename to write the SARIF output to, potentially with a
5966 leading absolute or relative path. If not specified, it defaults to
5967 @file{@var{source}.sarif}.
5969 @item version=@r{[}2.1@r{|}2.2-prerelease@r{]}
5970 Specify the version of SARIF to use for the output. If not specified,
5971 defaults to 2.1. @code{2.2-prerelease} uses an unofficial draft of the
5972 future SARIF 2.2 specification and should only be used for experimentation
5982 -fdiagnostics-add-output=sarif:version=2.1,file=foo.2.1.sarif
5983 -fdiagnostics-add-output=sarif:version=2.2-prerelease,file=foo.2.2.sarif
5986 would add a pair of outputs, each writing to a different file, using
5987 versions 2.1 and 2.2 of the SARIF standard respectively.
5993 @var{diagnostics-output-specifier} = @var{diagnostics-output-name}
5994 | @var{diagnostics-output-name}, ":", @var{key-value-pairs};
5996 @var{diagnostics-output-name} = "text" | "sarif";
5998 @var{key-value-pairs} = @var{key-value-pair}
5999 | @var{key-value-pair} "," @var{key-value-pairs};
6001 @var{key-value-pair} = @var{key} "=" @var{value};
6003 @var{key} = ? string without a '=' ? ;
6004 @var{value} = ? string without a ',' ? ;
6008 @opindex fdiagnostics-set-output
6009 @item -fdiagnostics-set-output=@var{DIAGNOSTICS-OUTPUT-SPEC}
6010 This works in a similar way to @option{-fdiagnostics-add-output=} except
6011 that instead of adding an additional ``output sink'' for diagnostics, it
6012 replaces all existing output sinks, such as from @option{-fdiagnostics-format=},
6013 @option{-fdiagnostics-add-output=}, or a prior call to
6014 @option{-fdiagnostics-set-output=}.
6016 @opindex fno-diagnostics-json-formatting
6017 @opindex fdiagnostics-json-formatting
6018 @item -fno-diagnostics-json-formatting
6019 By default, when JSON is emitted for diagnostics (via
6020 @option{-fdiagnostics-format=sarif-stderr},
6021 @option{-fdiagnostics-format=sarif-file},
6022 @option{-fdiagnostics-format=json},
6023 @option{-fdiagnostics-format=json-stderr},
6024 @option{-fdiagnostics-format=json-file}),
6025 GCC will add newlines and indentation to visually emphasize the
6026 hierarchical structure of the JSON.
6028 Use @option{-fno-diagnostics-json-formatting} to suppress this whitespace.
6029 It must be passed before the option it is to affect.
6031 This is intended for compatibility with tools that do not expect the output
6032 to contain newlines, such as that emitted by older GCC releases.
6036 @node Warning Options
6037 @section Options to Request or Suppress Warnings
6038 @cindex options to control warnings
6039 @cindex warning messages
6040 @cindex messages, warning
6041 @cindex suppressing warnings
6043 Warnings are diagnostic messages that report constructions that
6044 are not inherently erroneous but that are risky or suggest there
6045 may have been an error.
6047 The following language-independent options do not enable specific
6048 warnings but control the kinds of diagnostics produced by GCC@.
6051 @cindex syntax checking
6052 @opindex fsyntax-only
6054 Check the code for syntax errors, but don't do anything beyond that.
6056 @opindex fmax-errors
6057 @item -fmax-errors=@var{n}
6058 Limits the maximum number of error messages to @var{n}, at which point
6059 GCC bails out rather than attempting to continue processing the source
6060 code. If @var{n} is 0 (the default), there is no limit on the number
6061 of error messages produced. If @option{-Wfatal-errors} is also
6062 specified, then @option{-Wfatal-errors} takes precedence over this
6067 Inhibit all warning messages.
6072 Make all warnings into errors.
6077 Make the specified warning into an error. The specifier for a warning
6078 is appended; for example @option{-Werror=switch} turns the warnings
6079 controlled by @option{-Wswitch} into errors. This switch takes a
6080 negative form, to be used to negate @option{-Werror} for specific
6081 warnings; for example @option{-Wno-error=switch} makes
6082 @option{-Wswitch} warnings not be errors, even when @option{-Werror}
6085 The warning message for each controllable warning includes the
6086 option that controls the warning. That option can then be used with
6087 @option{-Werror=} and @option{-Wno-error=} as described above.
6088 (Printing of the option in the warning message can be disabled using the
6089 @option{-fno-diagnostics-show-option} flag.)
6091 Note that specifying @option{-Werror=}@var{foo} automatically implies
6092 @option{-W}@var{foo}. However, @option{-Wno-error=}@var{foo} does not
6095 @opindex Wfatal-errors
6096 @opindex Wno-fatal-errors
6097 @item -Wfatal-errors
6098 This option causes the compiler to abort compilation on the first error
6099 occurred rather than trying to keep going and printing further error
6104 You can request many specific warnings with options beginning with
6105 @samp{-W}, for example @option{-Wimplicit} to request warnings on
6106 implicit declarations. Each of these specific warning options also
6107 has a negative form beginning @samp{-Wno-} to turn off warnings; for
6108 example, @option{-Wno-implicit}. This manual lists only one of the
6109 two forms, whichever is not the default. For further
6110 language-specific options also refer to @ref{C++ Dialect Options} and
6111 @ref{Objective-C and Objective-C++ Dialect Options}.
6112 Additional warnings can be produced by enabling the static analyzer;
6113 @xref{Static Analyzer Options}.
6115 Some options, such as @option{-Wall} and @option{-Wextra}, turn on other
6116 options, such as @option{-Wunused}, which may turn on further options,
6117 such as @option{-Wunused-value}. The combined effect of positive and
6118 negative forms is that more specific options have priority over less
6119 specific ones, independently of their position in the command-line. For
6120 options of the same specificity, the last one takes effect. Options
6121 enabled or disabled via pragmas (@pxref{Diagnostic Pragmas}) take effect
6122 as if they appeared at the end of the command-line.
6124 When an unrecognized warning option is requested (e.g.,
6125 @option{-Wunknown-warning}), GCC emits a diagnostic stating
6126 that the option is not recognized. However, if the @option{-Wno-} form
6127 is used, the behavior is slightly different: no diagnostic is
6128 produced for @option{-Wno-unknown-warning} unless other diagnostics
6129 are being produced. This allows the use of new @option{-Wno-} options
6130 with old compilers, but if something goes wrong, the compiler
6131 warns that an unrecognized option is present.
6133 The effectiveness of some warnings depends on optimizations also being
6134 enabled. For example @option{-Wsuggest-final-types} is more effective
6135 with link-time optimization and some instances of other warnings may
6136 not be issued at all unless optimization is enabled. While optimization
6137 in general improves the efficacy of control and data flow sensitive
6138 warnings, in some cases it may also cause false positives.
6143 @opindex Wno-pedantic
6146 Issue all the warnings demanded by strict ISO C and ISO C++;
6147 diagnose all programs that use forbidden extensions, and some other
6148 programs that do not follow ISO C and ISO C++. This follows the version
6149 of the ISO C or C++ standard specified by any @option{-std} option used.
6151 Valid ISO C and ISO C++ programs should compile properly with or without
6152 this option (though a rare few require @option{-ansi} or a
6153 @option{-std} option specifying the version of the standard)@. However,
6154 without this option, certain GNU extensions and traditional C and C++
6155 features are supported as well. With this option, they are diagnosed
6156 (or rejected with @option{-pedantic-errors}).
6158 @option{-Wpedantic} does not cause warning messages for use of the
6159 alternate keywords whose names begin and end with @samp{__}. This alternate
6160 format can also be used to disable warnings for non-ISO @samp{__intN} types,
6161 i.e. @samp{__intN__}.
6162 Pedantic warnings are also disabled in the expression that follows
6163 @code{__extension__}. However, only system header files should use
6164 these escape routes; application programs should avoid them.
6165 @xref{Alternate Keywords}.
6167 Some warnings about non-conforming programs are controlled by options
6168 other than @option{-Wpedantic}; in many cases they are implied by
6169 @option{-Wpedantic} but can be disabled separately by their specific
6170 option, e.g. @option{-Wpedantic -Wno-pointer-sign}.
6172 Where the standard specified with @option{-std} represents a GNU
6173 extended dialect of C, such as @samp{gnu90} or @samp{gnu99}, there is a
6174 corresponding @dfn{base standard}, the version of ISO C on which the GNU
6175 extended dialect is based. Warnings from @option{-Wpedantic} are given
6176 where they are required by the base standard. (It does not make sense
6177 for such warnings to be given only for features not in the specified GNU
6178 C dialect, since by definition the GNU dialects of C include all
6179 features the compiler supports with the given option, and there would be
6180 nothing to warn about.)
6182 @opindex pedantic-errors
6183 @item -pedantic-errors
6184 Give an error whenever the @dfn{base standard} (see @option{-Wpedantic})
6185 requires a diagnostic, in some cases where there is undefined behavior
6186 at compile-time and in some other cases that do not prevent compilation
6187 of programs that are valid according to the standard. This is not
6188 equivalent to @option{-Werror=pedantic}: the latter option is unlikely to be
6189 useful, as it only makes errors of the diagnostics that are controlled by
6190 @option{-Wpedantic}, whereas this option also affects required diagnostics that
6191 are always enabled or controlled by options other than @option{-Wpedantic}.
6193 If you want the required diagnostics that are warnings by default to
6194 be errors instead, but don't also want to enable the @option{-Wpedantic}
6195 diagnostics, you can specify @option{-pedantic-errors -Wno-pedantic}
6196 (or @option{-pedantic-errors -Wno-error=pedantic} to enable them but
6199 Some required diagnostics are errors by default, but can be reduced to
6200 warnings using @option{-fpermissive} or their specific warning option,
6201 e.g. @option{-Wno-error=narrowing}.
6203 Some diagnostics for non-ISO practices are controlled by specific
6204 warning options other than @option{-Wpedantic}, but are also made
6205 errors by @option{-pedantic-errors}. For instance:
6208 -Wattributes @r{(for standard attributes)}
6209 -Wchanges-meaning @r{(C++)}
6210 -Wcomma-subscript @r{(C++23 or later)}
6211 -Wdeclaration-after-statement @r{(C90 or earlier)}
6212 -Welaborated-enum-base @r{(C++11 or later)}
6213 -Wimplicit-int @r{(C99 or later)}
6214 -Wimplicit-function-declaration @r{(C99 or later)}
6215 -Wincompatible-pointer-types
6217 -Wlong-long @r{(C90 or earlier)}
6219 -Wnarrowing @r{(C++11 or later)}
6222 -Wincompatible-pointer-types
6223 -Wregister @r{(C++17 or later)}
6224 -Wvla @r{(C90 or earlier)}
6225 -Wwrite-strings @r{(C++11 or later)}
6228 @opindex fpermissive
6230 Downgrade some required diagnostics about nonconformant code from
6231 errors to warnings. Thus, using @option{-fpermissive} allows some
6232 nonconforming code to compile. Some C++ diagnostics are controlled
6233 only by this flag, but it also downgrades some C and C++ diagnostics
6234 that have their own flag:
6237 -Wdeclaration-missing-parameter-type @r{(C and Objective-C only)}
6238 -Wimplicit-function-declaration @r{(C and Objective-C only)}
6239 -Wimplicit-int @r{(C and Objective-C only)}
6240 -Wincompatible-pointer-types @r{(C and Objective-C only)}
6241 -Wint-conversion @r{(C and Objective-C only)}
6242 -Wnarrowing @r{(C++ and Objective-C++ only)}
6243 -Wreturn-mismatch @r{(C and Objective-C only)}
6244 -Wtemplate-body @r{(C++ and Objective-C++ only)}
6247 The @option{-fpermissive} option is the default for historic C language
6248 modes (@option{-std=c89}, @option{-std=gnu89}, @option{-std=c90},
6249 @option{-std=gnu90}).
6254 This enables all the warnings about constructions that some users
6255 consider questionable, and that are easy to avoid (or modify to
6256 prevent the warning), even in conjunction with macros. This also
6257 enables some language-specific warnings described in @ref{C++ Dialect
6258 Options} and @ref{Objective-C and Objective-C++ Dialect Options}.
6260 @option{-Wall} turns on the following warning flags:
6262 @gccoptlist{-Waddress
6263 -Waligned-new @r{(C++ and Objective-C++ only)}
6264 -Warray-bounds=1 @r{(only with} @option{-O2}@r{)}
6269 -Wc++11-compat -Wc++14-compat -Wc++17compat -Wc++20compat
6270 -Wcatch-value @r{(C++ and Objective-C++ only)}
6272 -Wclass-memaccess @r{(C++ and Objective-C++ only)}
6275 -Wdangling-pointer=2
6276 -Wdelete-non-virtual-dtor @r{(C++ and Objective-C++ only)}
6277 -Wduplicate-decl-specifier @r{(C and Objective-C only)}
6278 -Wenum-compare @r{(in C/ObjC; this is on by default in C++)}
6279 -Wenum-int-mismatch @r{(C and Objective-C only)}
6281 -Wformat-contains-nul
6285 -Wformat-truncation=1
6286 -Wformat-zero-length
6288 -Wimplicit @r{(C and Objective-C only)}
6289 -Wimplicit-function-declaration @r{(C and Objective-C only)}
6290 -Wimplicit-int @r{(C and Objective-C only)}
6291 -Winfinite-recursion
6292 -Winit-self @r{(C++ and Objective-C++ only)}
6293 -Wint-in-bool-context
6294 -Wlogical-not-parentheses
6295 -Wmain @r{(only for C/ObjC and unless} @option{-ffreestanding}@r{)}
6296 -Wmaybe-uninitialized
6298 -Wmemset-transposed-args
6299 -Wmisleading-indentation @r{(only for C/C++)}
6300 -Wmismatched-dealloc
6301 -Wmismatched-new-delete @r{(C++ and Objective-C++ only)}
6302 -Wmissing-attributes
6303 -Wmissing-braces @r{(only for C/ObjC)}
6304 -Wmultistatement-macros
6305 -Wnarrowing @r{(C++ and Objective-C++ only)}
6308 -Wopenmp-simd @r{(C and C++ only)}
6309 -Woverloaded-virtual=1 @r{(C++ and Objective-C++ only)}
6310 -Wpacked-not-aligned
6312 -Wpessimizing-move @r{(C++ and Objective-C++ only)}
6313 -Wpointer-sign @r{(only for C/ObjC)}
6314 -Wrange-loop-construct @r{(C++ and Objective-C++ only)}
6315 -Wreorder @r{(C++ and Objective-C++ only)}
6318 -Wself-move @r{(C++ and Objective-C++ only)}
6320 -Wsign-compare @r{(C++ and Objective-C++ only)}
6322 -Wsizeof-pointer-div
6323 -Wsizeof-pointer-memaccess
6327 -Wtautological-compare
6332 -Wunused-but-set-variable
6333 -Wunused-const-variable=1 @r{(only for C/ObjC)}
6336 -Wunused-local-typedefs
6341 -Wvolatile-register-var
6342 -Wzero-length-bounds}
6344 Note that some warning flags are not implied by @option{-Wall}. Some of
6345 them warn about constructions that users generally do not consider
6346 questionable, but which occasionally you might wish to check for;
6347 others warn about constructions that are necessary or hard to avoid in
6348 some cases, and there is no simple way to modify the code to suppress
6349 the warning. Some of them are enabled by @option{-Wextra} but many of
6350 them must be enabled individually.
6356 This enables some extra warning flags that are not enabled by
6357 @option{-Wall}. (This option used to be called @option{-W}. The older
6358 name is still supported, but the newer name is more descriptive.)
6360 @gccoptlist{-Wabsolute-value @r{(only for C/ObjC)}
6362 -Wcalloc-transposed-args
6363 -Wcast-function-type
6365 -Wdangling-reference @r{(C++ only)}
6366 -Wdeprecated-copy @r{(C++ and Objective-C++ only)}
6368 -Wenum-conversion @r{(only for C/ObjC)}
6369 -Wexpansion-to-defined
6370 -Wignored-qualifiers @r{(only for C/C++)}
6371 -Wimplicit-fallthrough=3
6372 -Wmaybe-uninitialized
6373 -Wmissing-field-initializers
6374 -Wmissing-parameter-type @r{(C/ObjC only)}
6375 -Wold-style-declaration @r{(C/ObjC only)}
6376 -Woverride-init @r{(C/ObjC only)}
6377 -Wredundant-move @r{(C++ and Objective-C++ only)}
6378 -Wshift-negative-value @r{(in C++11 to C++17 and in C99 and newer)}
6379 -Wsign-compare @r{(C++ and Objective-C++ only)}
6380 -Wsized-deallocation @r{(C++ and Objective-C++ only)}
6384 -Wunterminated-string-initialization
6385 -Wunused-parameter @r{(only with} @option{-Wunused} @r{or} @option{-Wall}@r{)}
6386 -Wunused-but-set-parameter @r{(only with} @option{-Wunused} @r{or} @option{-Wall}@r{)}}
6388 The option @option{-Wextra} also prints warning messages for the
6394 A pointer is compared against integer zero with @code{<}, @code{<=},
6395 @code{>}, or @code{>=}.
6398 (C++ only) An enumerator and a non-enumerator both appear in a
6399 conditional expression.
6402 (C++ only) Ambiguous virtual bases.
6405 (C++ only) Subscripting an array that has been declared @code{register}.
6408 (C++ only) Taking the address of a variable that has been declared
6412 (C++ only) A base class is not initialized in the copy constructor
6419 @item -Wabi @r{(C, Objective-C, C++ and Objective-C++ only)}
6421 Warn about code affected by ABI changes. This includes code that may
6422 not be compatible with the vendor-neutral C++ ABI as well as the psABI
6423 for the particular target.
6425 Since G++ now defaults to updating the ABI with each major release,
6426 normally @option{-Wabi} warns only about C++ ABI compatibility
6427 problems if there is a check added later in a release series for an
6428 ABI issue discovered since the initial release. @option{-Wabi} warns
6429 about more things if an older ABI version is selected (with
6430 @option{-fabi-version=@var{n}}).
6432 @option{-Wabi} can also be used with an explicit version number to
6433 warn about C++ ABI compatibility with a particular @option{-fabi-version}
6434 level, e.g.@: @option{-Wabi=2} to warn about changes relative to
6435 @option{-fabi-version=2}.
6437 If an explicit version number is provided and
6438 @option{-fabi-compat-version} is not specified, the version number
6439 from this option is used for compatibility aliases. If no explicit
6440 version number is provided with this option, but
6441 @option{-fabi-compat-version} is specified, that version number is
6442 used for C++ ABI warnings.
6444 Although an effort has been made to warn about
6445 all such cases, there are probably some cases that are not warned about,
6446 even though G++ is generating incompatible code. There may also be
6447 cases where warnings are emitted even though the code that is generated
6450 You should rewrite your code to avoid these warnings if you are
6451 concerned about the fact that code generated by G++ may not be binary
6452 compatible with code generated by other compilers.
6454 Known incompatibilities in @option{-fabi-version=2} (which was the
6455 default from GCC 3.4 to 4.9) include:
6460 A template with a non-type template parameter of reference type was
6461 mangled incorrectly:
6464 template <int &> struct S @{@};
6468 This was fixed in @option{-fabi-version=3}.
6471 SIMD vector types declared using @code{__attribute ((vector_size))} were
6472 mangled in a non-standard way that does not allow for overloading of
6473 functions taking vectors of different sizes.
6475 The mangling was changed in @option{-fabi-version=4}.
6478 @code{__attribute ((const))} and @code{noreturn} were mangled as type
6479 qualifiers, and @code{decltype} of a plain declaration was folded away.
6481 These mangling issues were fixed in @option{-fabi-version=5}.
6484 Scoped enumerators passed as arguments to a variadic function are
6485 promoted like unscoped enumerators, causing @code{va_arg} to complain.
6486 On most targets this does not actually affect the parameter passing
6487 ABI, as there is no way to pass an argument smaller than @code{int}.
6489 Also, the ABI changed the mangling of template argument packs,
6490 @code{const_cast}, @code{static_cast}, prefix increment/decrement, and
6491 a class scope function used as a template argument.
6493 These issues were corrected in @option{-fabi-version=6}.
6496 Lambdas in default argument scope were mangled incorrectly, and the
6497 ABI changed the mangling of @code{nullptr_t}.
6499 These issues were corrected in @option{-fabi-version=7}.
6502 When mangling a function type with function-cv-qualifiers, the
6503 un-qualified function type was incorrectly treated as a substitution
6506 This was fixed in @option{-fabi-version=8}, the default for GCC 5.1.
6509 @code{decltype(nullptr)} incorrectly had an alignment of 1, leading to
6510 unaligned accesses. Note that this did not affect the ABI of a
6511 function with a @code{nullptr_t} parameter, as parameters have a
6514 This was fixed in @option{-fabi-version=9}, the default for GCC 5.2.
6517 Target-specific attributes that affect the identity of a type, such as
6518 ia32 calling conventions on a function type (stdcall, regparm, etc.),
6519 did not affect the mangled name, leading to name collisions when
6520 function pointers were used as template arguments.
6522 This was fixed in @option{-fabi-version=10}, the default for GCC 6.1.
6526 This option also enables warnings about psABI-related changes.
6527 The known psABI changes at this point include:
6532 For SysV/x86-64, unions with @code{long double} members are
6533 passed in memory as specified in psABI. Prior to GCC 4.4, this was not
6534 the case. For example:
6544 @code{union U} is now always passed in memory.
6548 @opindex Wchanges-meaning
6549 @opindex Wno-changes-meaning
6550 @item -Wno-changes-meaning @r{(C++ and Objective-C++ only)}
6551 C++ requires that unqualified uses of a name within a class have the
6552 same meaning in the complete scope of the class, so declaring the name
6553 after using it is ill-formed:
6556 struct B1 @{ A a; typedef A A; @}; // warning, 'A' changes meaning
6557 struct B2 @{ A a; struct A @{ @}; @}; // error, 'A' changes meaning
6559 By default, the B1 case is only a warning because the two declarations
6560 have the same type, while the B2 case is an error. Both diagnostics
6561 can be disabled with @option{-Wno-changes-meaning}. Alternately, the
6562 error case can be reduced to a warning with
6563 @option{-Wno-error=changes-meaning} or @option{-fpermissive}.
6565 Both diagnostics are also suppressed by @option{-fms-extensions}.
6567 @opindex Wchar-subscripts
6568 @opindex Wno-char-subscripts
6569 @item -Wchar-subscripts
6570 Warn if an array subscript has type @code{char}. This is a common cause
6571 of error, as programmers often forget that this type is signed on some
6573 This warning is enabled by @option{-Wall}.
6575 @opindex Wno-coverage-mismatch
6576 @opindex Wcoverage-mismatch
6577 @item -Wno-coverage-mismatch
6578 Warn if feedback profiles do not match when using the
6579 @option{-fprofile-use} option.
6580 If a source file is changed between compiling with @option{-fprofile-generate}
6581 and with @option{-fprofile-use}, the files with the profile feedback can fail
6582 to match the source file and GCC cannot use the profile feedback
6583 information. By default, this warning is enabled and is treated as an
6584 error. @option{-Wno-coverage-mismatch} can be used to disable the
6585 warning or @option{-Wno-error=coverage-mismatch} can be used to
6586 disable the error. Disabling the error for this warning can result in
6587 poorly optimized code and is useful only in the
6588 case of very minor changes such as bug fixes to an existing code-base.
6589 Completely disabling the warning is not recommended.
6591 @opindex Wno-coverage-too-many-conditions
6592 @opindex Wcoverage-too-many-conditions
6593 @item -Wno-coverage-too-many-conditions
6594 Warn if @option{-fcondition-coverage} is used and an expression have too many
6595 terms and GCC gives up coverage. Coverage is given up when there are more
6596 terms in the conditional than there are bits in a @code{gcov_type_unsigned}.
6597 This warning is enabled by default.
6599 @opindex Wno-coverage-invalid-line-number
6600 @opindex Wcoverage-invalid-line-number
6601 @item -Wno-coverage-invalid-line-number
6602 Warn in case a function ends earlier than it begins due
6603 to an invalid linenum macros. The warning is emitted only
6604 with @option{--coverage} enabled.
6606 By default, this warning is enabled and is treated as an
6607 error. @option{-Wno-coverage-invalid-line-number} can be used to disable the
6608 warning or @option{-Wno-error=coverage-invalid-line-number} can be used to
6613 @item -Wno-cpp @r{(C, Objective-C, C++, Objective-C++ and Fortran only)}
6614 Suppress warning messages emitted by @code{#warning} directives.
6616 @opindex Wdouble-promotion
6617 @opindex Wno-double-promotion
6618 @item -Wdouble-promotion @r{(C, C++, Objective-C and Objective-C++ only)}
6619 Give a warning when a value of type @code{float} is implicitly
6620 promoted to @code{double}. CPUs with a 32-bit ``single-precision''
6621 floating-point unit implement @code{float} in hardware, but emulate
6622 @code{double} in software. On such a machine, doing computations
6623 using @code{double} values is much more expensive because of the
6624 overhead required for software emulation.
6626 It is easy to accidentally do computations with @code{double} because
6627 floating-point literals are implicitly of type @code{double}. For
6631 float area(float radius)
6633 return 3.14159 * radius * radius;
6637 the compiler performs the entire computation with @code{double}
6638 because the floating-point literal is a @code{double}.
6640 @opindex Wduplicate-decl-specifier
6641 @opindex Wno-duplicate-decl-specifier
6642 @item -Wduplicate-decl-specifier @r{(C and Objective-C only)}
6643 Warn if a declaration has duplicate @code{const}, @code{volatile},
6644 @code{restrict} or @code{_Atomic} specifier. This warning is enabled by
6649 @opindex ffreestanding
6650 @opindex fno-builtin
6653 @itemx -Wformat=@var{n}
6654 Check calls to @code{printf} and @code{scanf}, etc., to make sure that
6655 the arguments supplied have types appropriate to the format string
6656 specified, and that the conversions specified in the format string make
6657 sense. This includes standard functions, and others specified by format
6658 attributes (@pxref{Function Attributes}), in the @code{printf},
6659 @code{scanf}, @code{strftime} and @code{strfmon} (an X/Open extension,
6660 not in the C standard) families (or other target-specific families).
6661 Which functions are checked without format attributes having been
6662 specified depends on the standard version selected, and such checks of
6663 functions without the attribute specified are disabled by
6664 @option{-ffreestanding} or @option{-fno-builtin}.
6666 The formats are checked against the format features supported by GNU
6667 libc version 2.2. These include all ISO C90 and C99 features, as well
6668 as features from the Single Unix Specification and some BSD and GNU
6669 extensions. Other library implementations may not support all these
6670 features; GCC does not support warning about features that go beyond a
6671 particular library's limitations. However, if @option{-Wpedantic} is used
6672 with @option{-Wformat}, warnings are given about format features not
6673 in the selected standard version (but not for @code{strfmon} formats,
6674 since those are not in any version of the C standard). @xref{C Dialect
6675 Options,,Options Controlling C Dialect}.
6682 Option @option{-Wformat} is equivalent to @option{-Wformat=1}, and
6683 @option{-Wno-format} is equivalent to @option{-Wformat=0}. Since
6684 @option{-Wformat} also checks for null format arguments for several
6685 functions, @option{-Wformat} also implies @option{-Wnonnull}. Some
6686 aspects of this level of format checking can be disabled by the
6687 options: @option{-Wno-format-contains-nul},
6688 @option{-Wno-format-extra-args}, and @option{-Wno-format-zero-length}.
6689 @option{-Wformat} is enabled by @option{-Wall}.
6693 Enable @option{-Wformat} plus additional format checks. Currently
6694 equivalent to @option{-Wformat -Wformat-nonliteral -Wformat-security
6698 @opindex Wno-format-contains-nul
6699 @opindex Wformat-contains-nul
6700 @item -Wno-format-contains-nul
6701 If @option{-Wformat} is specified, do not warn about format strings that
6704 @opindex Wno-format-extra-args
6705 @opindex Wformat-extra-args
6706 @item -Wno-format-extra-args
6707 If @option{-Wformat} is specified, do not warn about excess arguments to a
6708 @code{printf} or @code{scanf} format function. The C standard specifies
6709 that such arguments are ignored.
6711 Where the unused arguments lie between used arguments that are
6712 specified with @samp{$} operand number specifications, normally
6713 warnings are still given, since the implementation could not know what
6714 type to pass to @code{va_arg} to skip the unused arguments. However,
6715 in the case of @code{scanf} formats, this option suppresses the
6716 warning if the unused arguments are all pointers, since the Single
6717 Unix Specification says that such unused arguments are allowed.
6719 @opindex Wformat-overflow
6720 @opindex Wno-format-overflow
6721 @item -Wformat-overflow
6722 @itemx -Wformat-overflow=@var{level}
6723 Warn about calls to formatted input/output functions such as @code{sprintf}
6724 and @code{vsprintf} that might overflow the destination buffer. When the
6725 exact number of bytes written by a format directive cannot be determined
6726 at compile-time it is estimated based on heuristics that depend on the
6727 @var{level} argument and on optimization. While enabling optimization
6728 will in most cases improve the accuracy of the warning, it may also
6729 result in false positives.
6732 @opindex Wformat-overflow
6733 @opindex Wno-format-overflow
6734 @item -Wformat-overflow
6735 @itemx -Wformat-overflow=1
6736 Level @var{1} of @option{-Wformat-overflow} enabled by @option{-Wformat}
6737 employs a conservative approach that warns only about calls that most
6738 likely overflow the buffer. At this level, numeric arguments to format
6739 directives with unknown values are assumed to have the value of one, and
6740 strings of unknown length to be empty. Numeric arguments that are known
6741 to be bounded to a subrange of their type, or string arguments whose output
6742 is bounded either by their directive's precision or by a finite set of
6743 string literals, are assumed to take on the value within the range that
6744 results in the most bytes on output. For example, the call to @code{sprintf}
6745 below is diagnosed because even with both @var{a} and @var{b} equal to zero,
6746 the terminating NUL character (@code{'\0'}) appended by the function
6747 to the destination buffer will be written past its end. Increasing
6748 the size of the buffer by a single byte is sufficient to avoid the
6749 warning, though it may not be sufficient to avoid the overflow.
6752 void f (int a, int b)
6755 sprintf (buf, "a = %i, b = %i\n", a, b);
6759 @item -Wformat-overflow=2
6760 Level @var{2} warns also about calls that might overflow the destination
6761 buffer given an argument of sufficient length or magnitude. At level
6762 @var{2}, unknown numeric arguments are assumed to have the minimum
6763 representable value for signed types with a precision greater than 1, and
6764 the maximum representable value otherwise. Unknown string arguments whose
6765 length cannot be assumed to be bounded either by the directive's precision,
6766 or by a finite set of string literals they may evaluate to, or the character
6767 array they may point to, are assumed to be 1 character long.
6769 At level @var{2}, the call in the example above is again diagnosed, but
6770 this time because with @var{a} equal to a 32-bit @code{INT_MIN} the first
6771 @code{%i} directive will write some of its digits beyond the end of
6772 the destination buffer. To make the call safe regardless of the values
6773 of the two variables, the size of the destination buffer must be increased
6774 to at least 34 bytes. GCC includes the minimum size of the buffer in
6775 an informational note following the warning.
6777 An alternative to increasing the size of the destination buffer is to
6778 constrain the range of formatted values. The maximum length of string
6779 arguments can be bounded by specifying the precision in the format
6780 directive. When numeric arguments of format directives can be assumed
6781 to be bounded by less than the precision of their type, choosing
6782 an appropriate length modifier to the format specifier will reduce
6783 the required buffer size. For example, if @var{a} and @var{b} in the
6784 example above can be assumed to be within the precision of
6785 the @code{short int} type then using either the @code{%hi} format
6786 directive or casting the argument to @code{short} reduces the maximum
6787 required size of the buffer to 24 bytes.
6790 void f (int a, int b)
6793 sprintf (buf, "a = %hi, b = %i\n", a, (short)b);
6798 @opindex Wno-format-zero-length
6799 @opindex Wformat-zero-length
6800 @item -Wno-format-zero-length
6801 If @option{-Wformat} is specified, do not warn about zero-length formats.
6802 The C standard specifies that zero-length formats are allowed.
6804 @opindex Wformat-nonliteral
6805 @opindex Wno-format-nonliteral
6806 @item -Wformat-nonliteral
6807 If @option{-Wformat} is specified, also warn if the format string is not a
6808 string literal and so cannot be checked, unless the format function
6809 takes its format arguments as a @code{va_list}.
6811 @opindex Wformat-security
6812 @opindex Wno-format-security
6813 @item -Wformat-security
6814 If @option{-Wformat} is specified, also warn about uses of format
6815 functions that represent possible security problems. At present, this
6816 warns about calls to @code{printf} and @code{scanf} functions where the
6817 format string is not a string literal and there are no format arguments,
6818 as in @code{printf (foo);}. This may be a security hole if the format
6819 string came from untrusted input and contains @samp{%n}. (This is
6820 currently a subset of what @option{-Wformat-nonliteral} warns about, but
6821 in future warnings may be added to @option{-Wformat-security} that are not
6822 included in @option{-Wformat-nonliteral}.)
6824 @opindex Wformat-signedness
6825 @opindex Wno-format-signedness
6826 @item -Wformat-signedness
6827 If @option{-Wformat} is specified, also warn if the format string
6828 requires an unsigned argument and the argument is signed and vice versa.
6830 @opindex Wformat-truncation
6831 @opindex Wno-format-truncation
6832 @item -Wformat-truncation
6833 @itemx -Wformat-truncation=@var{level}
6834 Warn about calls to formatted input/output functions such as @code{snprintf}
6835 and @code{vsnprintf} that might result in output truncation. When the exact
6836 number of bytes written by a format directive cannot be determined at
6837 compile-time it is estimated based on heuristics that depend on
6838 the @var{level} argument and on optimization. While enabling optimization
6839 will in most cases improve the accuracy of the warning, it may also result
6840 in false positives. Except as noted otherwise, the option uses the same
6841 logic @option{-Wformat-overflow}.
6844 @opindex Wformat-truncation
6845 @opindex Wno-format-truncation
6846 @item -Wformat-truncation
6847 @itemx -Wformat-truncation=1
6848 Level @var{1} of @option{-Wformat-truncation} enabled by @option{-Wformat}
6849 employs a conservative approach that warns only about calls to bounded
6850 functions whose return value is unused and that will most likely result
6851 in output truncation.
6853 @item -Wformat-truncation=2
6854 Level @var{2} warns also about calls to bounded functions whose return
6855 value is used and that might result in truncation given an argument of
6856 sufficient length or magnitude.
6859 @opindex Wformat-y2k
6860 @opindex Wno-format-y2k
6862 If @option{-Wformat} is specified, also warn about @code{strftime}
6863 formats that may yield only a two-digit year.
6866 @opindex Wno-nonnull
6868 Warn about passing a null pointer for arguments marked as
6869 requiring a non-null value by the @code{nonnull} function attribute.
6871 @option{-Wnonnull} is included in @option{-Wall} and @option{-Wformat}. It
6872 can be disabled with the @option{-Wno-nonnull} option.
6874 @opindex Wnonnull-compare
6875 @opindex Wno-nonnull-compare
6876 @item -Wnonnull-compare
6877 Warn when comparing an argument marked with the @code{nonnull}
6878 function attribute against null inside the function.
6880 @option{-Wnonnull-compare} is included in @option{-Wall}. It
6881 can be disabled with the @option{-Wno-nonnull-compare} option.
6883 @opindex Wnull-dereference
6884 @opindex Wno-null-dereference
6885 @item -Wnull-dereference
6886 Warn if the compiler detects paths that trigger erroneous or
6887 undefined behavior due to dereferencing a null pointer. This option
6888 is only active when @option{-fdelete-null-pointer-checks} is active,
6889 which is enabled by optimizations in most targets. The precision of
6890 the warnings depends on the optimization options used.
6894 @item -Wnrvo @r{(C++ and Objective-C++ only)}
6895 Warn if the compiler does not elide the copy from a local variable to
6896 the return value of a function in a context where it is allowed by
6897 [class.copy.elision]. This elision is commonly known as the Named
6898 Return Value Optimization. For instance, in the example below the
6899 compiler cannot elide copies from both v1 and v2, so it elides neither.
6902 std::vector<int> f()
6904 std::vector<int> v1, v2;
6906 if (cond) return v1;
6907 else return v2; // warning: not eliding copy
6911 @opindex Winfinite-recursion
6912 @opindex Wno-infinite-recursion
6913 @item -Winfinite-recursion
6914 Warn about infinitely recursive calls. The warning is effective at all
6915 optimization levels but requires optimization in order to detect infinite
6916 recursion in calls between two or more functions.
6917 @option{-Winfinite-recursion} is included in @option{-Wall}.
6919 Compare with @option{-Wanalyzer-infinite-recursion} which provides a
6920 similar diagnostic, but is implemented in a different way (as part of
6921 @option{-fanalyzer}).
6924 @opindex Wno-init-self
6925 @item -Winit-self @r{(C, C++, Objective-C and Objective-C++ only)}
6926 Warn about uninitialized variables that are initialized with themselves.
6927 Note this option can only be used with the @option{-Wuninitialized} option.
6929 For example, GCC warns about @code{i} being uninitialized in the
6930 following snippet only when @option{-Winit-self} has been specified:
6941 This warning is enabled by @option{-Wall} in C++.
6943 @opindex Wimplicit-int
6944 @opindex Wno-implicit-int
6945 @item -Wno-implicit-int @r{(C and Objective-C only)}
6946 This option controls warnings when a declaration does not specify a type.
6947 This warning is enabled by default, as an error, in C99 and later
6948 dialects of C, and also by @option{-Wall}. The error can be downgraded
6949 to a warning using @option{-fpermissive} (along with certain other
6950 errors), or for this error alone, with @option{-Wno-error=implicit-int}.
6952 This warning is upgraded to an error by @option{-pedantic-errors}.
6954 @opindex Wimplicit-function-declaration
6955 @opindex Wno-implicit-function-declaration
6956 @item -Wno-implicit-function-declaration @r{(C and Objective-C only)}
6957 This option controls warnings when a function is used before being declared.
6958 This warning is enabled by default, as an error, in C99 and later
6959 dialects of C, and also by @option{-Wall}. The error can be downgraded
6960 to a warning using @option{-fpermissive} (along with certain other
6961 errors), or for this error alone, with
6962 @option{-Wno-error=implicit-function-declaration}.
6964 This warning is upgraded to an error by @option{-pedantic-errors}.
6967 @opindex Wno-implicit
6968 @item -Wimplicit @r{(C and Objective-C only)}
6969 Same as @option{-Wimplicit-int} and @option{-Wimplicit-function-declaration}.
6970 This warning is enabled by @option{-Wall}.
6973 @opindex Wno-hardened
6975 Warn when @option{-fhardened} did not enable an option from its set (for
6976 which see @option{-fhardened}). For instance, using @option{-fhardened}
6977 and @option{-fstack-protector} at the same time on the command line causes
6978 @option{-Whardened} to warn because @option{-fstack-protector-strong} is
6979 not enabled by @option{-fhardened}.
6981 This warning is enabled by default and has effect only when @option{-fhardened}
6984 @opindex Wimplicit-fallthrough
6985 @opindex Wno-implicit-fallthrough
6986 @item -Wimplicit-fallthrough
6987 @option{-Wimplicit-fallthrough} is the same as @option{-Wimplicit-fallthrough=3}
6988 and @option{-Wno-implicit-fallthrough} is the same as
6989 @option{-Wimplicit-fallthrough=0}.
6991 @opindex Wimplicit-fallthrough=
6992 @item -Wimplicit-fallthrough=@var{n}
6993 Warn when a switch case falls through. For example:
7011 This warning does not warn when the last statement of a case cannot
7012 fall through, e.g. when there is a return statement or a call to function
7013 declared with the noreturn attribute. @option{-Wimplicit-fallthrough=}
7014 also takes into account control flow statements, such as ifs, and only
7015 warns when appropriate. E.g.@:
7025 @} else if (i < 1) @{
7035 Since there are occasions where a switch case fall through is desirable,
7036 GCC provides an attribute, @code{__attribute__ ((fallthrough))}, that is
7037 to be used along with a null statement to suppress this warning that
7038 would normally occur:
7046 __attribute__ ((fallthrough));
7053 C++17 provides a standard way to suppress the @option{-Wimplicit-fallthrough}
7054 warning using @code{[[fallthrough]];} instead of the GNU attribute. In C++11
7055 or C++14 users can use @code{[[gnu::fallthrough]];}, which is a GNU extension.
7056 Instead of these attributes, it is also possible to add a fallthrough comment
7057 to silence the warning. The whole body of the C or C++ style comment should
7058 match the given regular expressions listed below. The option argument @var{n}
7059 specifies what kind of comments are accepted:
7063 @item @option{-Wimplicit-fallthrough=0} disables the warning altogether.
7065 @item @option{-Wimplicit-fallthrough=1} matches @code{.*} regular
7066 expression, any comment is used as fallthrough comment.
7068 @item @option{-Wimplicit-fallthrough=2} case insensitively matches
7069 @code{.*falls?[ \t-]*thr(ough|u).*} regular expression.
7071 @item @option{-Wimplicit-fallthrough=3} case sensitively matches one of the
7072 following regular expressions:
7076 @item @code{-fallthrough}
7078 @item @code{@@fallthrough@@}
7080 @item @code{lint -fallthrough[ \t]*}
7082 @item @code{[ \t.!]*(ELSE,? |INTENTIONAL(LY)? )?@*FALL(S | |-)?THR(OUGH|U)[ \t.!]*(-[^\n\r]*)?}
7084 @item @code{[ \t.!]*(Else,? |Intentional(ly)? )?@*Fall((s | |-)[Tt]|t)hr(ough|u)[ \t.!]*(-[^\n\r]*)?}
7086 @item @code{[ \t.!]*([Ee]lse,? |[Ii]ntentional(ly)? )?@*fall(s | |-)?thr(ough|u)[ \t.!]*(-[^\n\r]*)?}
7090 @item @option{-Wimplicit-fallthrough=4} case sensitively matches one of the
7091 following regular expressions:
7095 @item @code{-fallthrough}
7097 @item @code{@@fallthrough@@}
7099 @item @code{lint -fallthrough[ \t]*}
7101 @item @code{[ \t]*FALLTHR(OUGH|U)[ \t]*}
7105 @item @option{-Wimplicit-fallthrough=5} doesn't recognize any comments as
7106 fallthrough comments, only attributes disable the warning.
7110 The comment needs to be followed after optional whitespace and other comments
7111 by @code{case} or @code{default} keywords or by a user label that precedes some
7112 @code{case} or @code{default} label.
7127 The @option{-Wimplicit-fallthrough=3} warning is enabled by @option{-Wextra}.
7129 @opindex Wif-not-aligned
7130 @opindex Wno-if-not-aligned
7131 @item -Wno-if-not-aligned @r{(C, C++, Objective-C and Objective-C++ only)}
7132 Control if warnings triggered by the @code{warn_if_not_aligned} attribute
7133 should be issued. These warnings are enabled by default.
7135 @opindex Wignored-qualifiers
7136 @opindex Wno-ignored-qualifiers
7137 @item -Wignored-qualifiers @r{(C and C++ only)}
7138 Warn if the return type of a function has a type qualifier
7139 such as @code{const}. For ISO C such a type qualifier has no effect,
7140 since the value returned by a function is not an lvalue.
7141 For C++, the warning is only emitted for scalar types or @code{void}.
7142 ISO C prohibits qualified @code{void} return types on function
7143 definitions, so such return types always receive a warning
7144 even without this option.
7146 This warning is also enabled by @option{-Wextra}.
7148 @opindex Wignored-attributes
7149 @opindex Wno-ignored-attributes
7150 @item -Wno-ignored-attributes @r{(C and C++ only)}
7151 This option controls warnings when an attribute is ignored.
7152 This is different from the
7153 @option{-Wattributes} option in that it warns whenever the compiler decides
7154 to drop an attribute, not that the attribute is either unknown, used in a
7155 wrong place, etc. This warning is enabled by default.
7160 Warn if the type of @code{main} is suspicious. @code{main} should be
7161 a function with external linkage, returning int, taking either zero
7162 arguments, two, or three arguments of appropriate types. This warning
7163 is enabled by default in C++ and is enabled by either @option{-Wall}
7164 or @option{-Wpedantic}.
7166 This warning is upgraded to an error by @option{-pedantic-errors}.
7168 @opindex Wmisleading-indentation
7169 @opindex Wno-misleading-indentation
7170 @item -Wmisleading-indentation @r{(C and C++ only)}
7171 Warn when the indentation of the code does not reflect the block structure.
7172 Specifically, a warning is issued for @code{if}, @code{else}, @code{while}, and
7173 @code{for} clauses with a guarded statement that does not use braces,
7174 followed by an unguarded statement with the same indentation.
7176 In the following example, the call to ``bar'' is misleadingly indented as
7177 if it were guarded by the ``if'' conditional.
7180 if (some_condition ())
7182 bar (); /* Gotcha: this is not guarded by the "if". */
7185 In the case of mixed tabs and spaces, the warning uses the
7186 @option{-ftabstop=} option to determine if the statements line up
7189 The warning is not issued for code involving multiline preprocessor logic
7190 such as the following example.
7195 #if SOME_CONDITION_THAT_DOES_NOT_HOLD
7201 The warning is not issued after a @code{#line} directive, since this
7202 typically indicates autogenerated code, and no assumptions can be made
7203 about the layout of the file that the directive references.
7205 This warning is enabled by @option{-Wall} in C and C++.
7207 @opindex Wmissing-attributes
7208 @opindex Wno-missing-attributes
7209 @item -Wmissing-attributes
7210 Warn when a declaration of a function is missing one or more attributes
7211 that a related function is declared with and whose absence may adversely
7212 affect the correctness or efficiency of generated code. For example,
7213 the warning is issued for declarations of aliases that use attributes
7214 to specify less restrictive requirements than those of their targets.
7215 This typically represents a potential optimization opportunity.
7216 By contrast, the @option{-Wattribute-alias=2} option controls warnings
7217 issued when the alias is more restrictive than the target, which could
7218 lead to incorrect code generation.
7219 Attributes considered include @code{alloc_align}, @code{alloc_size},
7220 @code{cold}, @code{const}, @code{hot}, @code{leaf}, @code{malloc},
7221 @code{nonnull}, @code{noreturn}, @code{nothrow}, @code{pure},
7222 @code{returns_nonnull}, and @code{returns_twice}.
7224 In C++, the warning is issued when an explicit specialization of a primary
7225 template declared with attribute @code{alloc_align}, @code{alloc_size},
7226 @code{assume_aligned}, @code{format}, @code{format_arg}, @code{malloc},
7227 or @code{nonnull} is declared without it. Attributes @code{deprecated},
7228 @code{error}, and @code{warning} suppress the warning.
7229 (@pxref{Function Attributes}).
7231 You can use the @code{copy} attribute to apply the same
7232 set of attributes to a declaration as that on another declaration without
7233 explicitly enumerating the attributes. This attribute can be applied
7234 to declarations of functions (@pxref{Common Function Attributes}),
7235 variables (@pxref{Common Variable Attributes}), or types
7236 (@pxref{Common Type Attributes}).
7238 @option{-Wmissing-attributes} is enabled by @option{-Wall}.
7240 For example, since the declaration of the primary function template
7241 below makes use of both attribute @code{malloc} and @code{alloc_size}
7242 the declaration of the explicit specialization of the template is
7243 diagnosed because it is missing one of the attributes.
7247 T* __attribute__ ((malloc, alloc_size (1)))
7251 void* __attribute__ ((malloc)) // missing alloc_size
7252 allocate<void> (size_t);
7255 @opindex Wmissing-braces
7256 @opindex Wno-missing-braces
7257 @item -Wmissing-braces
7258 Warn if an aggregate or union initializer is not fully bracketed. In
7259 the following example, the initializer for @code{a} is not fully
7260 bracketed, but that for @code{b} is fully bracketed.
7263 int a[2][2] = @{ 0, 1, 2, 3 @};
7264 int b[2][2] = @{ @{ 0, 1 @}, @{ 2, 3 @} @};
7267 This warning is enabled by @option{-Wall}.
7269 @opindex Wmissing-include-dirs
7270 @opindex Wno-missing-include-dirs
7271 @item -Wmissing-include-dirs @r{(C, C++, Objective-C, Objective-C++ and Fortran only)}
7272 Warn if a user-supplied include directory does not exist. This option is disabled
7273 by default for C, C++, Objective-C and Objective-C++. For Fortran, it is partially
7274 enabled by default by warning for -I and -J, only.
7276 @opindex Wmissing-profile
7277 @opindex Wno-missing-profile
7278 @item -Wno-missing-profile
7279 This option controls warnings if feedback profiles are missing when using the
7280 @option{-fprofile-use} option.
7281 This option diagnoses those cases where a new function or a new file is added
7282 between compiling with @option{-fprofile-generate} and with
7283 @option{-fprofile-use}, without regenerating the profiles.
7284 In these cases, the profile feedback data files do not contain any
7285 profile feedback information for
7286 the newly added function or file respectively. Also, in the case when profile
7287 count data (.gcda) files are removed, GCC cannot use any profile feedback
7288 information. In all these cases, warnings are issued to inform you that a
7289 profile generation step is due.
7290 Ignoring the warning can result in poorly optimized code.
7291 @option{-Wno-missing-profile} can be used to
7292 disable the warning, but this is not recommended and should be done only
7293 when non-existent profile data is justified.
7295 @opindex Wmismatched-dealloc
7296 @opindex Wno-mismatched-dealloc
7297 @item -Wmismatched-dealloc
7299 Warn for calls to deallocation functions with pointer arguments returned
7300 from allocation functions for which the former isn't a suitable
7301 deallocator. A pair of functions can be associated as matching allocators
7302 and deallocators by use of attribute @code{malloc}. Unless disabled by
7303 the @option{-fno-builtin} option the standard functions @code{calloc},
7304 @code{malloc}, @code{realloc}, and @code{free}, as well as the corresponding
7305 forms of C++ @code{operator new} and @code{operator delete} are implicitly
7306 associated as matching allocators and deallocators. In the following
7307 example @code{mydealloc} is the deallocator for pointers returned from
7311 void mydealloc (void*);
7313 __attribute__ ((malloc (mydealloc, 1))) void*
7318 void *p = myalloc (32);
7319 // @dots{}use p@dots{}
7320 free (p); // warning: not a matching deallocator for myalloc
7321 mydealloc (p); // ok
7325 In C++, the related option @option{-Wmismatched-new-delete} diagnoses
7326 mismatches involving either @code{operator new} or @code{operator delete}.
7328 Option @option{-Wmismatched-dealloc} is included in @option{-Wall}.
7330 @opindex Wmultistatement-macros
7331 @opindex Wno-multistatement-macros
7332 @item -Wmultistatement-macros
7333 Warn about unsafe multiple statement macros that appear to be guarded
7334 by a clause such as @code{if}, @code{else}, @code{for}, @code{switch}, or
7335 @code{while}, in which only the first statement is actually guarded after
7336 the macro is expanded.
7341 #define DOIT x++; y++
7346 will increment @code{y} unconditionally, not just when @code{c} holds.
7347 The can usually be fixed by wrapping the macro in a do-while loop:
7349 #define DOIT do @{ x++; y++; @} while (0)
7354 This warning is enabled by @option{-Wall} in C and C++.
7356 @opindex Wparentheses
7357 @opindex Wno-parentheses
7359 Warn if parentheses are omitted in certain contexts, such
7360 as when there is an assignment in a context where a truth value
7361 is expected, or when operators are nested whose precedence people
7362 often get confused about.
7364 Also warn if a comparison like @code{x<=y<=z} appears; this is
7365 equivalent to @code{(x<=y ? 1 : 0) <= z}, which is a different
7366 interpretation from that of ordinary mathematical notation.
7368 Also warn for dangerous uses of the GNU extension to
7369 @code{?:} with omitted middle operand. When the condition
7370 in the @code{?}: operator is a boolean expression, the omitted value is
7371 always 1. Often programmers expect it to be a value computed
7372 inside the conditional expression instead.
7374 For C++ this also warns for some cases of unnecessary parentheses in
7375 declarations, which can indicate an attempt at a function call instead
7379 // Declares a local variable called mymutex.
7380 std::unique_lock<std::mutex> (mymutex);
7381 // User meant std::unique_lock<std::mutex> lock (mymutex);
7385 This warning is enabled by @option{-Wall}.
7388 @opindex Wno-self-move
7389 @item -Wno-self-move @r{(C++ and Objective-C++ only)}
7390 This warning warns when a value is moved to itself with @code{std::move}.
7391 Such a @code{std::move} typically has no effect.
7405 This warning is enabled by @option{-Wall}.
7407 @opindex Wsequence-point
7408 @opindex Wno-sequence-point
7409 @item -Wsequence-point
7410 Warn about code that may have undefined semantics because of violations
7411 of sequence point rules in the C and C++ standards.
7413 The C and C++ standards define the order in which expressions in a C/C++
7414 program are evaluated in terms of @dfn{sequence points}, which represent
7415 a partial ordering between the execution of parts of the program: those
7416 executed before the sequence point, and those executed after it. These
7417 occur after the evaluation of a full expression (one which is not part
7418 of a larger expression), after the evaluation of the first operand of a
7419 @code{&&}, @code{||}, @code{? :} or @code{,} (comma) operator, before a
7420 function is called (but after the evaluation of its arguments and the
7421 expression denoting the called function), and in certain other places.
7422 Other than as expressed by the sequence point rules, the order of
7423 evaluation of subexpressions of an expression is not specified. All
7424 these rules describe only a partial order rather than a total order,
7425 since, for example, if two functions are called within one expression
7426 with no sequence point between them, the order in which the functions
7427 are called is not specified. However, the standards committee have
7428 ruled that function calls do not overlap.
7430 It is not specified when between sequence points modifications to the
7431 values of objects take effect. Programs whose behavior depends on this
7432 have undefined behavior; the C and C++ standards specify that ``Between
7433 the previous and next sequence point an object shall have its stored
7434 value modified at most once by the evaluation of an expression.
7435 Furthermore, the prior value shall be read only to determine the value
7436 to be stored.''. If a program breaks these rules, the results on any
7437 particular implementation are entirely unpredictable.
7439 Examples of code with undefined behavior are @code{a = a++;}, @code{a[n]
7440 = b[n++]} and @code{a[i++] = i;}. Some more complicated cases are not
7441 diagnosed by this option, and it may give an occasional false positive
7442 result, but in general it has been found fairly effective at detecting
7443 this sort of problem in programs.
7445 The C++17 standard will define the order of evaluation of operands in
7446 more cases: in particular it requires that the right-hand side of an
7447 assignment be evaluated before the left-hand side, so the above
7448 examples are no longer undefined. But this option will still warn
7449 about them, to help people avoid writing code that is undefined in C
7450 and earlier revisions of C++.
7452 The standard is worded confusingly, therefore there is some debate
7453 over the precise meaning of the sequence point rules in subtle cases.
7454 Links to discussions of the problem, including proposed formal
7455 definitions, may be found on the GCC readings page, at
7456 @uref{https://gcc.gnu.org/@/readings.html}.
7458 This warning is enabled by @option{-Wall} for C and C++.
7460 @opindex Wno-return-local-addr
7461 @opindex Wreturn-local-addr
7462 @item -Wno-return-local-addr
7463 Do not warn about returning a pointer (or in C++, a reference) to a
7464 variable that goes out of scope after the function returns.
7466 @opindex Wreturn-mismatch
7467 @opindex Wno-return-mismatch
7468 @item -Wreturn-mismatch
7469 Warn about return statements without an expressions in functions which
7470 do not return @code{void}. Also warn about a @code{return} statement
7471 with an expression in a function whose return type is @code{void},
7472 unless the expression type is also @code{void}. As a GNU extension, the
7473 latter case is accepted without a warning unless @option{-Wpedantic} is
7476 Attempting to use the return value of a non-@code{void} function other
7477 than @code{main} that flows off the end by reaching the closing curly
7478 brace that terminates the function is undefined.
7480 This warning is specific to C and enabled by default. In C99 and later
7481 language dialects, it is treated as an error. It can be downgraded
7482 to a warning using @option{-fpermissive} (along with other warnings),
7483 or for just this warning, with @option{-Wno-error=return-mismatch}.
7485 @opindex Wreturn-type
7486 @opindex Wno-return-type
7488 Warn whenever a function is defined with a return type that defaults to
7489 @code{int} (unless @option{-Wimplicit-int} is active, which takes
7490 precedence). Also warn if execution may reach the end of the function
7491 body, or if the function does not contain any return statement at all.
7493 Attempting to use the return value of a non-@code{void} function other
7494 than @code{main} that flows off the end by reaching the closing curly
7495 brace that terminates the function is undefined.
7497 Unlike in C, in C++, flowing off the end of a non-@code{void} function other
7498 than @code{main} results in undefined behavior even when the value of
7499 the function is not used.
7501 This warning is enabled by default in C++ and by @option{-Wall} otherwise.
7503 @opindex Wshift-count-negative
7504 @opindex Wno-shift-count-negative
7505 @item -Wno-shift-count-negative
7506 Controls warnings if a shift count is negative.
7507 This warning is enabled by default.
7509 @opindex Wshift-count-overflow
7510 @opindex Wno-shift-count-overflow
7511 @item -Wno-shift-count-overflow
7512 Controls warnings if a shift count is greater than or equal to the bit width
7513 of the type. This warning is enabled by default.
7515 @opindex Wshift-negative-value
7516 @opindex Wno-shift-negative-value
7517 @item -Wshift-negative-value
7518 Warn if left shifting a negative value. This warning is enabled by
7519 @option{-Wextra} in C99 (and newer) and C++11 to C++17 modes.
7521 @opindex Wshift-overflow
7522 @opindex Wno-shift-overflow
7523 @item -Wno-shift-overflow
7524 @itemx -Wshift-overflow=@var{n}
7525 These options control warnings about left shift overflows.
7528 @item -Wshift-overflow=1
7529 This is the warning level of @option{-Wshift-overflow} and is enabled
7530 by default in C99 and C++11 modes (and newer). This warning level does
7531 not warn about left-shifting 1 into the sign bit. (However, in C, such
7532 an overflow is still rejected in contexts where an integer constant expression
7533 is required.) No warning is emitted in C++20 mode (and newer), as signed left
7536 @item -Wshift-overflow=2
7537 This warning level also warns about left-shifting 1 into the sign bit,
7538 unless C++14 mode (or newer) is active.
7544 Warn whenever a @code{switch} statement has an index of enumerated type
7545 and lacks a @code{case} for one or more of the named codes of that
7546 enumeration. (The presence of a @code{default} label prevents this
7547 warning.) @code{case} labels that do not correspond to enumerators also
7548 provoke warnings when this option is used, unless the enumeration is marked
7549 with the @code{flag_enum} attribute.
7550 This warning is enabled by @option{-Wall}.
7552 @opindex Wswitch-default
7553 @opindex Wno-switch-default
7554 @item -Wswitch-default
7555 Warn whenever a @code{switch} statement does not have a @code{default}
7558 @opindex Wswitch-enum
7559 @opindex Wno-switch-enum
7561 Warn whenever a @code{switch} statement has an index of enumerated type
7562 and lacks a @code{case} for one or more of the named codes of that
7563 enumeration. @code{case} labels that do not correspond to enumerators also
7564 provoke warnings when this option is used, unless the enumeration is marked
7565 with the @code{flag_enum} attribute. The only difference
7566 between @option{-Wswitch} and this option is that this option gives a
7567 warning about an omitted enumeration code even if there is a
7568 @code{default} label.
7570 @opindex Wswitch-bool
7571 @opindex Wno-switch-bool
7572 @item -Wno-switch-bool
7573 Do not warn when a @code{switch} statement has an index of boolean type
7574 and the case values are outside the range of a boolean type.
7575 It is possible to suppress this warning by casting the controlling
7576 expression to a type other than @code{bool}. For example:
7579 switch ((int) (a == 4))
7585 This warning is enabled by default for C and C++ programs.
7587 @opindex Wswitch-outside-range
7588 @opindex Wno-switch-outside-range
7589 @item -Wno-switch-outside-range
7590 This option controls warnings when a @code{switch} case has a value
7591 that is outside of its
7592 respective type range. This warning is enabled by default for
7595 @opindex Wswitch-unreachable
7596 @opindex Wno-switch-unreachable
7597 @item -Wno-switch-unreachable
7598 Do not warn when a @code{switch} statement contains statements between the
7599 controlling expression and the first case label, which will never be
7600 executed. For example:
7612 @option{-Wswitch-unreachable} does not warn if the statement between the
7613 controlling expression and the first case label is just a declaration:
7626 This warning is enabled by default for C and C++ programs.
7629 @opindex Wno-sync-nand
7630 @item -Wsync-nand @r{(C and C++ only)}
7631 Warn when @code{__sync_fetch_and_nand} and @code{__sync_nand_and_fetch}
7632 built-in functions are used. These functions changed semantics in GCC 4.4.
7634 @opindex Wtrivial-auto-var-init
7635 @opindex Wno-trivial-auto-var-init
7636 @item -Wtrivial-auto-var-init
7637 Warn when @code{-ftrivial-auto-var-init} cannot initialize the automatic
7638 variable. A common situation is an automatic variable that is declared
7639 between the controlling expression and the first case label of a @code{switch}
7642 @opindex Wunused-but-set-parameter
7643 @opindex Wno-unused-but-set-parameter
7644 @item -Wunused-but-set-parameter
7645 Warn whenever a function parameter is assigned to, but otherwise unused
7646 (aside from its declaration).
7648 To suppress this warning use the @code{unused} attribute
7649 (@pxref{Variable Attributes}).
7651 This warning is also enabled by @option{-Wunused} together with
7654 @opindex Wunused-but-set-variable
7655 @opindex Wno-unused-but-set-variable
7656 @item -Wunused-but-set-variable
7657 Warn whenever a local variable is assigned to, but otherwise unused
7658 (aside from its declaration).
7659 This warning is enabled by @option{-Wall}.
7661 To suppress this warning use the @code{unused} attribute
7662 (@pxref{Variable Attributes}).
7664 This warning is also enabled by @option{-Wunused}, which is enabled
7667 @opindex Wunused-function
7668 @opindex Wno-unused-function
7669 @item -Wunused-function
7670 Warn whenever a static function is declared but not defined or a
7671 non-inline static function is unused.
7672 This warning is enabled by @option{-Wall}.
7674 @opindex Wunused-label
7675 @opindex Wno-unused-label
7676 @item -Wunused-label
7677 Warn whenever a label is declared but not used.
7678 This warning is enabled by @option{-Wall}.
7680 To suppress this warning use the @code{unused} attribute
7681 (@pxref{Variable Attributes}).
7683 @opindex Wunused-local-typedefs
7684 @opindex Wno-unused-local-typedefs
7685 @item -Wunused-local-typedefs @r{(C, Objective-C, C++ and Objective-C++ only)}
7686 Warn when a typedef locally defined in a function is not used.
7687 This warning is enabled by @option{-Wall}.
7689 @opindex Wunused-parameter
7690 @opindex Wno-unused-parameter
7691 @item -Wunused-parameter
7692 Warn whenever a function parameter is unused aside from its declaration.
7693 This option is not enabled by @code{-Wunused} unless @code{-Wextra} is also
7696 To suppress this warning use the @code{unused} attribute
7697 (@pxref{Variable Attributes}).
7699 @opindex Wunused-result
7700 @opindex Wno-unused-result
7701 @item -Wno-unused-result
7702 Do not warn if a caller of a function marked with attribute
7703 @code{warn_unused_result} (@pxref{Function Attributes}) does not use
7704 its return value. The default is @option{-Wunused-result}.
7706 @opindex Wunused-variable
7707 @opindex Wno-unused-variable
7708 @item -Wunused-variable
7709 Warn whenever a local or static variable is unused aside from its
7710 declaration. This option implies @option{-Wunused-const-variable=1} for C,
7711 but not for C++. This warning is enabled by @option{-Wall}.
7713 To suppress this warning use the @code{unused} attribute
7714 (@pxref{Variable Attributes}).
7716 @opindex Wunused-const-variable
7717 @opindex Wno-unused-const-variable
7718 @item -Wunused-const-variable
7719 @itemx -Wunused-const-variable=@var{n}
7720 Warn whenever a constant static variable is unused aside from its declaration.
7722 To suppress this warning use the @code{unused} attribute
7723 (@pxref{Variable Attributes}).
7726 @item -Wunused-const-variable=1
7727 Warn about unused static const variables defined in the main
7728 compilation unit, but not about static const variables declared in any
7731 @option{-Wunused-const-variable=1} is enabled by either
7732 @option{-Wunused-variable} or @option{-Wunused} for C, but not for
7733 C++. In C this declares variable storage, but in C++ this is not an
7734 error since const variables take the place of @code{#define}s.
7736 @item -Wunused-const-variable=2
7737 This warning level also warns for unused constant static variables in
7738 headers (excluding system headers). It is equivalent to the short form
7739 @option{-Wunused-const-variable}. This level must be explicitly
7740 requested in both C and C++ because it might be hard to clean up all
7744 @opindex Wunused-value
7745 @opindex Wno-unused-value
7746 @item -Wunused-value
7747 Warn whenever a statement computes a result that is explicitly not
7748 used. To suppress this warning cast the unused expression to
7749 @code{void}. This includes an expression-statement or the left-hand
7750 side of a comma expression that contains no side effects. For example,
7751 an expression such as @code{x[i,j]} causes a warning, while
7752 @code{x[(void)i,j]} does not.
7754 This warning is enabled by @option{-Wall}.
7759 All the above @option{-Wunused} options combined, except those documented
7760 as needing to be specified explicitly.
7762 In order to get a warning about an unused function parameter, you must
7763 either specify @option{-Wextra -Wunused} (note that @option{-Wall} implies
7764 @option{-Wunused}), or separately specify @option{-Wunused-parameter} and/or
7765 @option{-Wunused-but-set-parameter}.
7767 @option{-Wunused} enables only @option{-Wunused-const-variable=1} rather than
7768 @option{-Wunused-const-variable}, and only for C, not C++.
7770 @opindex Wuse-after-free
7771 @opindex Wno-use-after-free
7772 @item -Wuse-after-free @r{(C, Objective-C, C++ and Objective-C++ only)}
7773 @itemx -Wuse-after-free=@var{n}
7774 Warn about uses of pointers to dynamically allocated objects that have
7775 been rendered indeterminate by a call to a deallocation function.
7776 The warning is enabled at all optimization levels but may yield different
7777 results with optimization than without.
7780 @item -Wuse-after-free=1
7781 At level 1 the warning attempts to diagnose only unconditional uses
7782 of pointers made indeterminate by a deallocation call or a successful
7783 call to @code{realloc}, regardless of whether or not the call resulted
7784 in an actual reallocation of memory. This includes double-@code{free}
7785 calls as well as uses in arithmetic and relational expressions. Although
7786 undefined, uses of indeterminate pointers in equality (or inequality)
7787 expressions are not diagnosed at this level.
7788 @item -Wuse-after-free=2
7789 At level 2, in addition to unconditional uses, the warning also diagnoses
7790 conditional uses of pointers made indeterminate by a deallocation call.
7791 As at level 2, uses in equality (or inequality) expressions are not
7792 diagnosed. For example, the second call to @code{free} in the following
7793 function is diagnosed at this level:
7795 struct A @{ int refcount; void *data; @};
7797 void release (struct A *p)
7799 int refcount = --p->refcount;
7802 free (p->data); // warning: p may be used after free
7805 @item -Wuse-after-free=3
7806 At level 3, the warning also diagnoses uses of indeterminate pointers in
7807 equality expressions. All uses of indeterminate pointers are undefined
7808 but equality tests sometimes appear after calls to @code{realloc} as
7809 an attempt to determine whether the call resulted in relocating the object
7810 to a different address. They are diagnosed at a separate level to aid
7811 gradually transitioning legacy code to safe alternatives. For example,
7812 the equality test in the function below is diagnosed at this level:
7814 void adjust_pointers (int**, int);
7816 void grow (int **p, int n)
7818 int **q = (int**)realloc (p, n *= 2);
7821 adjust_pointers ((int**)q, n);
7824 To avoid the warning at this level, store offsets into allocated memory
7825 instead of pointers. This approach obviates needing to adjust the stored
7826 pointers after reallocation.
7829 @option{-Wuse-after-free=2} is included in @option{-Wall}.
7831 @opindex Wuseless-cast
7832 @opindex Wno-useless-cast
7833 @item -Wuseless-cast @r{(C, Objective-C, C++ and Objective-C++ only)}
7834 Warn when an expression is cast to its own type. This warning does not
7835 occur when a class object is converted to a non-reference type as that
7836 is a way to create a temporary:
7843 g (S(arg)); // make arg prvalue so that it can bind to S&&
7847 @opindex Wuninitialized
7848 @opindex Wno-uninitialized
7849 @item -Wuninitialized
7850 Warn if an object with automatic or allocated storage duration is used
7851 without having been initialized. In C++, also warn if a non-static
7852 reference or non-static @code{const} member appears in a class without
7855 In addition, passing a pointer (or in C++, a reference) to an uninitialized
7856 object to a @code{const}-qualified argument of a built-in function known to
7857 read the object is also diagnosed by this warning.
7858 (@option{-Wmaybe-uninitialized} is issued for ordinary functions.)
7860 If you want to warn about code that uses the uninitialized value of the
7861 variable in its own initializer, use the @option{-Winit-self} option.
7863 These warnings occur for individual uninitialized elements of
7864 structure, union or array variables as well as for variables that are
7865 uninitialized as a whole. They do not occur for variables or elements
7866 declared @code{volatile}. Because these warnings depend on
7867 optimization, the exact variables or elements for which there are
7868 warnings depend on the precise optimization options and version of GCC
7871 Note that there may be no warning about a variable that is used only
7872 to compute a value that itself is never used, because such
7873 computations may be deleted by data flow analysis before the warnings
7876 In C++, this warning also warns about using uninitialized objects in
7877 member-initializer-lists. For example, GCC warns about @code{b} being
7878 uninitialized in the following snippet:
7888 @opindex Winvalid-memory-model
7889 @opindex Wno-invalid-memory-model
7890 @item -Wno-invalid-memory-model
7891 This option controls warnings
7892 for invocations of @ref{__atomic Builtins}, @ref{__sync Builtins},
7893 and the C11 atomic generic functions with a memory consistency argument
7894 that is either invalid for the operation or outside the range of values
7895 of the @code{memory_order} enumeration. For example, since the
7896 @code{__atomic_store} and @code{__atomic_store_n} built-ins are only
7897 defined for the relaxed, release, and sequentially consistent memory
7898 orders the following code is diagnosed:
7903 __atomic_store_n (i, 0, memory_order_consume);
7907 @option{-Winvalid-memory-model} is enabled by default.
7909 @opindex Wmaybe-uninitialized
7910 @opindex Wno-maybe-uninitialized
7911 @item -Wmaybe-uninitialized
7912 For an object with automatic or allocated storage duration, if there exists
7913 a path from the function entry to a use of the object that is initialized,
7914 but there exist some other paths for which the object is not initialized,
7915 the compiler emits a warning if it cannot prove the uninitialized paths
7916 are not executed at run time.
7918 In addition, passing a pointer (or in C++, a reference) to an uninitialized
7919 object to a @code{const}-qualified function argument is also diagnosed by
7920 this warning. (@option{-Wuninitialized} is issued for built-in functions
7921 known to read the object.) Annotating the function with attribute
7922 @code{access (none)} indicates that the argument isn't used to access
7923 the object and avoids the warning (@pxref{Common Function Attributes}).
7925 These warnings are only possible in optimizing compilation, because otherwise
7926 GCC does not keep track of the state of variables.
7928 These warnings are made optional because GCC may not be able to determine when
7929 the code is correct in spite of appearing to have an error. Here is one
7930 example of how this can happen:
7950 If the value of @code{y} is always 1, 2 or 3, then @code{x} is
7951 always initialized, but GCC doesn't know this. To suppress the
7952 warning, you need to provide a default case with assert(0) or
7955 @cindex @code{longjmp} warnings
7956 This option also warns when a non-volatile automatic variable might be
7957 changed by a call to @code{longjmp}.
7958 The compiler sees only the calls to @code{setjmp}. It cannot know
7959 where @code{longjmp} will be called; in fact, a signal handler could
7960 call it at any point in the code. As a result, you may get a warning
7961 even when there is in fact no problem because @code{longjmp} cannot
7962 in fact be called at the place that would cause a problem.
7964 Some spurious warnings can be avoided if you declare all the functions
7965 you use that never return as @code{noreturn}. @xref{Function
7968 This warning is enabled by @option{-Wall} or @option{-Wextra}.
7970 @opindex Wunknown-pragmas
7971 @opindex Wno-unknown-pragmas
7972 @cindex warning for unknown pragmas
7973 @cindex unknown pragmas, warning
7974 @cindex pragmas, warning of unknown
7975 @item -Wunknown-pragmas
7976 Warn when a @code{#pragma} directive is encountered that is not understood by
7977 GCC@. If this command-line option is used, warnings are even issued
7978 for unknown pragmas in system header files. This is not the case if
7979 the warnings are only enabled by the @option{-Wall} command-line option.
7981 @opindex Wno-pragmas
7984 Do not warn about misuses of pragmas, such as incorrect parameters,
7985 invalid syntax, or conflicts between pragmas. See also
7986 @option{-Wunknown-pragmas}.
7988 @opindex Wno-pragma-once-outside-header
7989 @opindex Wpragma-once-outside-header
7990 @item -Wno-pragma-once-outside-header
7991 Do not warn when @code{#pragma once} is used in a file that is not a header
7992 file, such as a main file.
7994 @opindex Wno-prio-ctor-dtor
7995 @opindex Wprio-ctor-dtor
7996 @item -Wno-prio-ctor-dtor
7997 Do not warn if a priority from 0 to 100 is used for constructor or destructor.
7998 The use of constructor and destructor attributes allow you to assign a
7999 priority to the constructor/destructor to control its order of execution
8000 before @code{main} is called or after it returns. The priority values must be
8001 greater than 100 as the compiler reserves priority values between 0--100 for
8004 @opindex Wstrict-aliasing
8005 @opindex Wno-strict-aliasing
8006 @item -Wstrict-aliasing
8007 This option is only active when @option{-fstrict-aliasing} is active.
8008 It warns about code that might break the strict aliasing rules that the
8009 compiler is using for optimization. The warning does not catch all
8010 cases, but does attempt to catch the more common pitfalls. It is
8011 included in @option{-Wall}.
8012 It is equivalent to @option{-Wstrict-aliasing=3}
8014 @opindex Wstrict-aliasing=n
8015 @item -Wstrict-aliasing=n
8016 This option is only active when @option{-fstrict-aliasing} is active.
8017 It warns about code that might break the strict aliasing rules that the
8018 compiler is using for optimization.
8019 Higher levels correspond to higher accuracy (fewer false positives).
8020 Higher levels also correspond to more effort, similar to the way @option{-O}
8022 @option{-Wstrict-aliasing} is equivalent to @option{-Wstrict-aliasing=3}.
8024 Level 1: Most aggressive, quick, least accurate.
8025 Possibly useful when higher levels
8026 do not warn but @option{-fstrict-aliasing} still breaks the code, as it has very few
8027 false negatives. However, it has many false positives.
8028 Warns for all pointer conversions between possibly incompatible types,
8029 even if never dereferenced. Runs in the front end only.
8031 Level 2: Aggressive, quick, not too precise.
8032 May still have many false positives (not as many as level 1 though),
8033 and few false negatives (but possibly more than level 1).
8034 Unlike level 1, it only warns when an address is taken. Warns about
8035 incomplete types. Runs in the front end only.
8037 Level 3 (default for @option{-Wstrict-aliasing}):
8038 Should have very few false positives and few false
8039 negatives. Slightly slower than levels 1 or 2 when optimization is enabled.
8040 Takes care of the common pun+dereference pattern in the front end:
8041 @code{*(int*)&some_float}.
8042 If optimization is enabled, it also runs in the back end, where it deals
8043 with multiple statement cases using flow-sensitive points-to information.
8044 Only warns when the converted pointer is dereferenced.
8045 Does not warn about incomplete types.
8047 @opindex Wstrict-overflow
8048 @opindex Wno-strict-overflow
8049 @item -Wstrict-overflow
8050 @itemx -Wstrict-overflow=@var{n}
8051 This option is only active when signed overflow is undefined.
8052 It warns about cases where the compiler optimizes based on the
8053 assumption that signed overflow does not occur. Note that it does not
8054 warn about all cases where the code might overflow: it only warns
8055 about cases where the compiler implements some optimization. Thus
8056 this warning depends on the optimization level.
8058 An optimization that assumes that signed overflow does not occur is
8059 perfectly safe if the values of the variables involved are such that
8060 overflow never does, in fact, occur. Therefore this warning can
8061 easily give a false positive: a warning about code that is not
8062 actually a problem. To help focus on important issues, several
8063 warning levels are defined. No warnings are issued for the use of
8064 undefined signed overflow when estimating how many iterations a loop
8065 requires, in particular when determining whether a loop will be
8069 @item -Wstrict-overflow=1
8070 Warn about cases that are both questionable and easy to avoid. For
8071 example the compiler simplifies
8072 @code{x + 1 > x} to @code{1}. This level of
8073 @option{-Wstrict-overflow} is enabled by @option{-Wall}; higher levels
8074 are not, and must be explicitly requested.
8076 @item -Wstrict-overflow=2
8077 Also warn about other cases where a comparison is simplified to a
8078 constant. For example: @code{abs (x) >= 0}. This can only be
8079 simplified when signed integer overflow is undefined, because
8080 @code{abs (INT_MIN)} overflows to @code{INT_MIN}, which is less than
8081 zero. @option{-Wstrict-overflow} (with no level) is the same as
8082 @option{-Wstrict-overflow=2}.
8084 @item -Wstrict-overflow=3
8085 Also warn about other cases where a comparison is simplified. For
8086 example: @code{x + 1 > 1} is simplified to @code{x > 0}.
8088 @item -Wstrict-overflow=4
8089 Also warn about other simplifications not covered by the above cases.
8090 For example: @code{(x * 10) / 5} is simplified to @code{x * 2}.
8092 @item -Wstrict-overflow=5
8093 Also warn about cases where the compiler reduces the magnitude of a
8094 constant involved in a comparison. For example: @code{x + 2 > y} is
8095 simplified to @code{x + 1 >= y}. This is reported only at the
8096 highest warning level because this simplification applies to many
8097 comparisons, so this warning level gives a very large number of
8101 @opindex Wstring-compare
8102 @opindex Wno-string-compare
8103 @item -Wstring-compare
8104 Warn for calls to @code{strcmp} and @code{strncmp} whose result is
8105 determined to be either zero or non-zero in tests for such equality
8106 owing to the length of one argument being greater than the size of
8107 the array the other argument is stored in (or the bound in the case
8108 of @code{strncmp}). Such calls could be mistakes. For example,
8109 the call to @code{strcmp} below is diagnosed because its result is
8110 necessarily non-zero irrespective of the contents of the array @code{a}.
8116 strcpy (d, "string");
8118 if (0 == strcmp (a, d)) // cannot be true
8119 puts ("a and d are the same");
8123 @option{-Wstring-compare} is enabled by @option{-Wextra}.
8125 @opindex Wstringop-overflow
8126 @opindex Wno-stringop-overflow
8127 @item -Wno-stringop-overflow
8128 @item -Wstringop-overflow
8129 @itemx -Wstringop-overflow=@var{type}
8130 Warn for calls to string manipulation functions such as @code{memcpy} and
8131 @code{strcpy} that are determined to overflow the destination buffer. The
8132 optional argument is one greater than the type of Object Size Checking to
8133 perform to determine the size of the destination. @xref{Object Size Checking}.
8134 The argument is meaningful only for functions that operate on character arrays
8135 but not for raw memory functions like @code{memcpy} which always make use
8136 of Object Size type-0. The option also warns for calls that specify a size
8137 in excess of the largest possible object or at most @code{SIZE_MAX / 2} bytes.
8138 The option produces the best results with optimization enabled but can detect
8139 a small subset of simple buffer overflows even without optimization in
8140 calls to the GCC built-in functions like @code{__builtin_memcpy} that
8141 correspond to the standard functions. In any case, the option warns about
8142 just a subset of buffer overflows detected by the corresponding overflow
8143 checking built-ins. For example, the option issues a warning for
8144 the @code{strcpy} call below because it copies at least 5 characters
8145 (the string @code{"blue"} including the terminating NUL) into the buffer
8149 enum Color @{ blue, purple, yellow @};
8150 const char* f (enum Color clr)
8152 static char buf [4];
8156 case blue: str = "blue"; break;
8157 case purple: str = "purple"; break;
8158 case yellow: str = "yellow"; break;
8161 return strcpy (buf, str); // warning here
8165 Option @option{-Wstringop-overflow=2} is enabled by default.
8168 @opindex Wstringop-overflow
8169 @opindex Wno-stringop-overflow
8170 @item -Wstringop-overflow
8171 @itemx -Wstringop-overflow=1
8172 The @option{-Wstringop-overflow=1} option uses type-zero Object Size Checking
8173 to determine the sizes of destination objects. At this setting the option
8174 does not warn for writes past the end of subobjects of larger objects accessed
8175 by pointers unless the size of the largest surrounding object is known. When
8176 the destination may be one of several objects it is assumed to be the largest
8177 one of them. On Linux systems, when optimization is enabled at this setting
8178 the option warns for the same code as when the @code{_FORTIFY_SOURCE} macro
8179 is defined to a non-zero value.
8181 @item -Wstringop-overflow=2
8182 The @option{-Wstringop-overflow=2} option uses type-one Object Size Checking
8183 to determine the sizes of destination objects. At this setting the option
8184 warns about overflows when writing to members of the largest complete
8185 objects whose exact size is known. However, it does not warn for excessive
8186 writes to the same members of unknown objects referenced by pointers since
8187 they may point to arrays containing unknown numbers of elements. This is
8188 the default setting of the option.
8190 @item -Wstringop-overflow=3
8191 The @option{-Wstringop-overflow=3} option uses type-two Object Size Checking
8192 to determine the sizes of destination objects. At this setting the option
8193 warns about overflowing the smallest object or data member. This is the
8194 most restrictive setting of the option that may result in warnings for safe
8197 @item -Wstringop-overflow=4
8198 The @option{-Wstringop-overflow=4} option uses type-three Object Size Checking
8199 to determine the sizes of destination objects. At this setting the option
8200 warns about overflowing any data members, and when the destination is
8201 one of several objects it uses the size of the largest of them to decide
8202 whether to issue a warning. Similarly to @option{-Wstringop-overflow=3} this
8203 setting of the option may result in warnings for benign code.
8206 @opindex Wstringop-overread
8207 @opindex Wno-stringop-overread
8208 @item -Wno-stringop-overread
8209 Warn for calls to string manipulation functions such as @code{memchr}, or
8210 @code{strcpy} that are determined to read past the end of the source
8213 Option @option{-Wstringop-overread} is enabled by default.
8215 @opindex Wstringop-truncation
8216 @opindex Wno-stringop-truncation
8217 @item -Wno-stringop-truncation
8218 Do not warn for calls to bounded string manipulation functions
8219 such as @code{strncat},
8220 @code{strncpy}, and @code{stpncpy} that may either truncate the copied string
8221 or leave the destination unchanged.
8223 In the following example, the call to @code{strncat} specifies a bound that
8224 is less than the length of the source string. As a result, the copy of
8225 the source will be truncated and so the call is diagnosed. To avoid the
8226 warning use @code{bufsize - strlen (buf) - 1)} as the bound.
8229 void append (char *buf, size_t bufsize)
8231 strncat (buf, ".txt", 3);
8235 As another example, the following call to @code{strncpy} results in copying
8236 to @code{d} just the characters preceding the terminating NUL, without
8237 appending the NUL to the end. Assuming the result of @code{strncpy} is
8238 necessarily a NUL-terminated string is a common mistake, and so the call
8239 is diagnosed. To avoid the warning when the result is not expected to be
8240 NUL-terminated, call @code{memcpy} instead.
8243 void copy (char *d, const char *s)
8245 strncpy (d, s, strlen (s));
8249 In the following example, the call to @code{strncpy} specifies the size
8250 of the destination buffer as the bound. If the length of the source
8251 string is equal to or greater than this size the result of the copy will
8252 not be NUL-terminated. Therefore, the call is also diagnosed. To avoid
8253 the warning, specify @code{sizeof buf - 1} as the bound and set the last
8254 element of the buffer to @code{NUL}.
8257 void copy (const char *s)
8260 strncpy (buf, s, sizeof buf);
8265 In situations where a character array is intended to store a sequence
8266 of bytes with no terminating @code{NUL} such an array may be annotated
8267 with attribute @code{nonstring} to avoid this warning. Such arrays,
8268 however, are not suitable arguments to functions that expect
8269 @code{NUL}-terminated strings. To help detect accidental misuses of
8270 such arrays GCC issues warnings unless it can prove that the use is
8271 safe. @xref{Common Variable Attributes}.
8273 @opindex Wstrict-flex-arrays
8274 @opindex Wno-strict-flex-arrays
8275 @item -Wstrict-flex-arrays @r{(C and C++ only)}
8276 Warn about improper usages of flexible array members
8277 according to the @var{level} of the @code{strict_flex_array (@var{level})}
8278 attribute attached to the trailing array field of a structure if it's
8279 available, otherwise according to the @var{level} of the option
8280 @option{-fstrict-flex-arrays=@var{level}}. @xref{Common Variable Attributes},
8281 for more information about the attribute, and @ref{C Dialect Options} for
8282 more information about the option. @code{-Wstrict-flex-arrays}
8283 is effective only when @var{level} is greater than 0.
8285 When @var{level}=1, warnings are issued for a trailing array reference
8286 of a structure that have 2 or more elements if the trailing array is referenced
8287 as a flexible array member.
8289 When @var{level}=2, in addition to @var{level}=1, additional warnings are
8290 issued for a trailing one-element array reference of a structure
8291 if the array is referenced as a flexible array member.
8293 When @var{level}=3, in addition to @var{level}=2, additional warnings are
8294 issued for a trailing zero-length array reference of a structure
8295 if the array is referenced as a flexible array member.
8297 This option is more effective when @option{-ftree-vrp} is active (the
8298 default for @option{-O2} and above) but some warnings may be diagnosed
8299 even without optimization.
8301 @opindex Wsuggest-attribute=
8302 @opindex Wno-suggest-attribute=
8303 @item -Wsuggest-attribute=@r{[}pure@r{|}const@r{|}noreturn@r{|}format@r{|}cold@r{|}malloc@r{]}returns_nonnull@r{|}
8304 Warn for cases where adding an attribute may be beneficial. The
8305 attributes currently supported are listed below.
8308 @opindex Wsuggest-attribute=pure
8309 @opindex Wno-suggest-attribute=pure
8310 @opindex Wsuggest-attribute=const
8311 @opindex Wno-suggest-attribute=const
8312 @opindex Wsuggest-attribute=noreturn
8313 @opindex Wno-suggest-attribute=noreturn
8314 @opindex Wmissing-noreturn
8315 @opindex Wno-missing-noreturn
8316 @opindex Wsuggest-attribute=malloc
8317 @opindex Wno-suggest-attribute=malloc
8318 @item -Wsuggest-attribute=pure
8319 @itemx -Wsuggest-attribute=const
8320 @itemx -Wsuggest-attribute=noreturn
8321 @itemx -Wmissing-noreturn
8322 @itemx -Wsuggest-attribute=malloc
8323 @itemx -Wsuggest-attribute=returns_nonnull
8324 @itemx -Wno-suggest-attribute=returns_nonnull
8326 Warn about functions that might be candidates for attributes
8327 @code{pure}, @code{const}, @code{noreturn}, @code{malloc} or @code{returns_nonnull}. The compiler
8328 only warns for functions visible in other compilation units or (in the case of
8329 @code{pure} and @code{const}) if it cannot prove that the function returns
8330 normally. A function returns normally if it doesn't contain an infinite loop or
8331 return abnormally by throwing, calling @code{abort} or trapping. This analysis
8332 requires option @option{-fipa-pure-const}, which is enabled by default at
8333 @option{-O} and higher. Higher optimization levels improve the accuracy
8336 @opindex Wsuggest-attribute=format
8337 @opindex Wmissing-format-attribute
8338 @opindex Wno-suggest-attribute=format
8339 @opindex Wno-missing-format-attribute
8342 @item -Wsuggest-attribute=format
8343 @itemx -Wmissing-format-attribute
8345 Warn about function pointers that might be candidates for @code{format}
8346 attributes. Note these are only possible candidates, not absolute ones.
8347 GCC guesses that function pointers with @code{format} attributes that
8348 are used in assignment, initialization, parameter passing or return
8349 statements should have a corresponding @code{format} attribute in the
8350 resulting type. I.e.@: the left-hand side of the assignment or
8351 initialization, the type of the parameter variable, or the return type
8352 of the containing function respectively should also have a @code{format}
8353 attribute to avoid the warning.
8355 GCC also warns about function definitions that might be
8356 candidates for @code{format} attributes. Again, these are only
8357 possible candidates. GCC guesses that @code{format} attributes
8358 might be appropriate for any function that calls a function like
8359 @code{vprintf} or @code{vscanf}, but this might not always be the
8360 case, and some functions for which @code{format} attributes are
8361 appropriate may not be detected.
8363 @opindex Wsuggest-attribute=cold
8364 @opindex Wno-suggest-attribute=cold
8365 @item -Wsuggest-attribute=cold
8367 Warn about functions that might be candidates for @code{cold} attribute. This
8368 is based on static detection and generally only warns about functions which
8369 always leads to a call to another @code{cold} function such as wrappers of
8370 C++ @code{throw} or fatal error reporting functions leading to @code{abort}.
8373 @opindex Wno-alloc-size
8374 @opindex Walloc-size
8376 Warn about calls to allocation functions decorated with attribute
8377 @code{alloc_size} that specify insufficient size for the target type of
8378 the pointer the result is assigned to, including those to the built-in
8379 forms of the functions @code{aligned_alloc}, @code{alloca},
8380 @code{calloc}, @code{malloc}, and @code{realloc}.
8382 @opindex Wno-alloc-zero
8383 @opindex Walloc-zero
8385 Warn about calls to allocation functions decorated with attribute
8386 @code{alloc_size} that specify zero bytes, including those to the built-in
8387 forms of the functions @code{aligned_alloc}, @code{alloca}, @code{calloc},
8388 @code{malloc}, and @code{realloc}. Because the behavior of these functions
8389 when called with a zero size differs among implementations (and in the case
8390 of @code{realloc} has been deprecated) relying on it may result in subtle
8391 portability bugs and should be avoided.
8393 @opindex Wcalloc-transposed-args
8394 @opindex Wno-calloc-transposed-args
8395 @item -Wcalloc-transposed-args
8396 Warn about calls to allocation functions decorated with attribute
8397 @code{alloc_size} with two arguments, which use @code{sizeof} operator
8398 as the earlier size argument and don't use it as the later size argument.
8399 This is a coding style warning. The first argument to @code{calloc} is
8400 documented to be number of elements in array, while the second argument
8401 is size of each element, so @code{calloc (@var{n}, sizeof (int))} is preferred
8402 over @code{calloc (sizeof (int), @var{n})}. If @code{sizeof} in the earlier
8403 argument and not the latter is intentional, the warning can be suppressed
8404 by using @code{calloc (sizeof (struct @var{S}) + 0, n)} or
8405 @code{calloc (1 * sizeof (struct @var{S}), 4)} or using @code{sizeof} in the
8406 later argument as well.
8408 @opindex Walloc-size-larger-than=
8409 @opindex Wno-alloc-size-larger-than
8410 @item -Walloc-size-larger-than=@var{byte-size}
8411 Warn about calls to functions decorated with attribute @code{alloc_size}
8412 that attempt to allocate objects larger than the specified number of bytes,
8413 or where the result of the size computation in an integer type with infinite
8414 precision would exceed the value of @samp{PTRDIFF_MAX} on the target.
8415 @option{-Walloc-size-larger-than=}@samp{PTRDIFF_MAX} is enabled by default.
8416 Warnings controlled by the option can be disabled either by specifying
8417 @var{byte-size} of @samp{SIZE_MAX} or more or by
8418 @option{-Wno-alloc-size-larger-than}.
8419 @xref{Function Attributes}.
8421 @opindex Wno-alloc-size-larger-than
8422 @item -Wno-alloc-size-larger-than
8423 Disable @option{-Walloc-size-larger-than=} warnings. The option is
8424 equivalent to @option{-Walloc-size-larger-than=}@samp{SIZE_MAX} or
8430 This option warns on all uses of @code{alloca} in the source.
8432 @opindex Walloca-larger-than=
8433 @opindex Wno-alloca-larger-than
8434 @item -Walloca-larger-than=@var{byte-size}
8435 This option warns on calls to @code{alloca} with an integer argument whose
8436 value is either zero, or that is not bounded by a controlling predicate
8437 that limits its value to at most @var{byte-size}. It also warns for calls
8438 to @code{alloca} where the bound value is unknown. Arguments of non-integer
8439 types are considered unbounded even if they appear to be constrained to
8442 For example, a bounded case of @code{alloca} could be:
8445 void func (size_t n)
8456 In the above example, passing @code{-Walloca-larger-than=1000} would not
8457 issue a warning because the call to @code{alloca} is known to be at most
8458 1000 bytes. However, if @code{-Walloca-larger-than=500} were passed,
8459 the compiler would emit a warning.
8461 Unbounded uses, on the other hand, are uses of @code{alloca} with no
8462 controlling predicate constraining its integer argument. For example:
8467 void *p = alloca (n);
8472 If @code{-Walloca-larger-than=500} were passed, the above would trigger
8473 a warning, but this time because of the lack of bounds checking.
8475 Note, that even seemingly correct code involving signed integers could
8479 void func (signed int n)
8489 In the above example, @var{n} could be negative, causing a larger than
8490 expected argument to be implicitly cast into the @code{alloca} call.
8492 This option also warns when @code{alloca} is used in a loop.
8494 @option{-Walloca-larger-than=}@samp{PTRDIFF_MAX} is enabled by default
8495 but is usually only effective when @option{-ftree-vrp} is active (default
8496 for @option{-O2} and above).
8498 See also @option{-Wvla-larger-than=}@samp{byte-size}.
8500 @opindex Wno-alloca-larger-than
8501 @item -Wno-alloca-larger-than
8502 Disable @option{-Walloca-larger-than=} warnings. The option is
8503 equivalent to @option{-Walloca-larger-than=}@samp{SIZE_MAX} or larger.
8505 @opindex Warith-conversion
8506 @opindex Wno-arith-conversion
8507 @item -Warith-conversion
8508 Do warn about implicit conversions from arithmetic operations even
8509 when conversion of the operands to the same type cannot change their
8510 values. This affects warnings from @option{-Wconversion},
8511 @option{-Wfloat-conversion}, and @option{-Wsign-conversion}.
8515 void f (char c, int i)
8517 c = c + i; // warns with @option{-Wconversion}
8518 c = c + 1; // only warns with @option{-Warith-conversion}
8523 @opindex Wno-array-bounds
8524 @opindex Warray-bounds
8525 @item -Warray-bounds
8526 @itemx -Warray-bounds=@var{n}
8527 Warn about out of bounds subscripts or offsets into arrays. This warning
8528 is enabled by @option{-Wall}. It is more effective when @option{-ftree-vrp}
8529 is active (the default for @option{-O2} and above) but a subset of instances
8530 are issued even without optimization.
8532 By default, the trailing array of a structure will be treated as a flexible
8533 array member by @option{-Warray-bounds} or @option{-Warray-bounds=@var{n}}
8534 if it is declared as either a flexible array member per C99 standard onwards
8535 (@samp{[]}), a GCC zero-length array extension (@samp{[0]}), or an one-element
8536 array (@samp{[1]}). As a result, out of bounds subscripts or offsets into
8537 zero-length arrays or one-element arrays are not warned by default.
8539 You can add the option @option{-fstrict-flex-arrays} or
8540 @option{-fstrict-flex-arrays=@var{level}} to control how this
8541 option treat trailing array of a structure as a flexible array member:
8543 when @var{level}<=1, no change to the default behavior.
8545 when @var{level}=2, additional warnings will be issued for out of bounds
8546 subscripts or offsets into one-element arrays;
8548 when @var{level}=3, in addition to @var{level}=2, additional warnings will be
8549 issued for out of bounds subscripts or offsets into zero-length arrays.
8552 @item -Warray-bounds=1
8553 This is the default warning level of @option{-Warray-bounds} and is enabled
8554 by @option{-Wall}; higher levels are not, and must be explicitly requested.
8556 @item -Warray-bounds=2
8557 This warning level also warns about the intermediate results of pointer
8558 arithmetic that may yield out of bounds values. This warning level may
8559 give a larger number of false positives and is deactivated by default.
8562 @opindex Wunterminated-string-initialization
8563 @opindex Wno-unterminated-string-initialization
8564 @item -Wunterminated-string-initialization @r{(C and Objective-C only)}
8565 Warn about character arrays
8566 initialized as unterminated character sequences
8567 with a string literal.
8571 char arr[3] = "foo";
8574 This warning is enabled by @option{-Wextra} and @option{-Wc++-compat}.
8575 In C++, such initializations are an error.
8577 @opindex Warray-compare
8578 @opindex Wno-array-compare
8579 @item -Warray-compare
8580 Warn about equality and relational comparisons between two operands of array
8581 type. This comparison was deprecated in C++20. For example:
8586 bool same = arr1 == arr2;
8589 @option{-Warray-compare} is enabled by @option{-Wall}.
8591 @opindex Wno-array-parameter
8592 @opindex Warray-parameter
8593 @item -Warray-parameter
8594 @itemx -Warray-parameter=@var{n}
8595 Warn about redeclarations of functions involving parameters of array or
8596 pointer types of inconsistent kinds or forms, and enable the detection
8597 of out-of-bounds accesses to such parameters by warnings such as
8598 @option{-Warray-bounds}.
8600 If the first function declaration uses the array form for a parameter
8601 declaration, the bound specified
8602 in the array is assumed to be the minimum number of elements expected to
8603 be provided in calls to the function and the maximum number of elements
8604 accessed by it. Failing to provide arguments of sufficient size or accessing
8605 more than the maximum number of elements may be diagnosed by warnings such
8606 as @option{-Warray-bounds} or @option{-Wstringop-overflow}.
8607 At level 1, the warning diagnoses inconsistencies
8608 involving array parameters declared using the @code{T[static N]} form.
8610 For example, the warning triggers for the second declaration of @code{f}
8611 because the first one with the keyword @code{static} specifies that
8612 the array argument must have at least four elements, while the second
8613 allows an array of any size to be passed to @code{f}.
8616 void f (int[static 4]);
8617 void f (int[]); // warning (inconsistent array form)
8621 int *p = (int *)malloc (1 * sizeof (int));
8622 f (p); // warning (array too small)
8627 At level 2 the warning also triggers for redeclarations involving any other
8628 inconsistency in array or pointer argument forms denoting array sizes.
8629 Pointers and arrays of unspecified bound are considered equivalent and do
8630 not trigger a warning.
8634 void g (int[]); // no warning
8635 void g (int[8]); // warning (inconsistent array bound)
8638 @option{-Warray-parameter=2} is included in @option{-Wall}. The
8639 @option{-Wvla-parameter} option triggers warnings for similar inconsistencies
8640 involving Variable Length Array arguments.
8642 The short form of the option @option{-Warray-parameter} is equivalent to
8643 @option{-Warray-parameter=2}. The negative form @option{-Wno-array-parameter}
8644 is equivalent to @option{-Warray-parameter=0}.
8646 @opindex Wattribute-alias
8647 @opindex Wno-attribute-alias
8648 @item -Wattribute-alias=@var{n}
8649 @itemx -Wno-attribute-alias
8650 Warn about declarations using the @code{alias} and similar attributes whose
8651 target is incompatible with the type of the alias.
8652 @xref{Function Attributes,,Declaring Attributes of Functions}.
8655 @item -Wattribute-alias=1
8656 The default warning level of the @option{-Wattribute-alias} option diagnoses
8657 incompatibilities between the type of the alias declaration and that of its
8658 target. Such incompatibilities are typically indicative of bugs.
8660 @item -Wattribute-alias=2
8662 At this level @option{-Wattribute-alias} also diagnoses cases where
8663 the attributes of the alias declaration are more restrictive than the
8664 attributes applied to its target. These mismatches can potentially
8665 result in incorrect code generation. In other cases they may be
8666 benign and could be resolved simply by adding the missing attribute to
8667 the target. For comparison, see the @option{-Wmissing-attributes}
8668 option, which controls diagnostics when the alias declaration is less
8669 restrictive than the target, rather than more restrictive.
8671 Attributes considered include @code{alloc_align}, @code{alloc_size},
8672 @code{cold}, @code{const}, @code{hot}, @code{leaf}, @code{malloc},
8673 @code{nonnull}, @code{noreturn}, @code{nothrow}, @code{pure},
8674 @code{returns_nonnull}, and @code{returns_twice}.
8677 @option{-Wattribute-alias} is equivalent to @option{-Wattribute-alias=1}.
8678 This is the default. You can disable these warnings with either
8679 @option{-Wno-attribute-alias} or @option{-Wattribute-alias=0}.
8681 @opindex Wbidi-chars=
8682 @opindex Wbidi-chars
8683 @opindex Wno-bidi-chars
8684 @item -Wbidi-chars=@r{[}none@r{|}unpaired@r{|}any@r{|}ucn@r{]}
8685 Warn about possibly misleading UTF-8 bidirectional control characters in
8686 comments, string literals, character constants, and identifiers. Such
8687 characters can change left-to-right writing direction into right-to-left
8688 (and vice versa), which can cause confusion between the logical order and
8689 visual order. This may be dangerous; for instance, it may seem that a piece
8690 of code is not commented out, whereas it in fact is.
8692 There are three levels of warning supported by GCC@. The default is
8693 @option{-Wbidi-chars=unpaired}, which warns about improperly terminated
8694 bidi contexts. @option{-Wbidi-chars=none} turns the warning off.
8695 @option{-Wbidi-chars=any} warns about any use of bidirectional control
8698 By default, this warning does not warn about UCNs. It is, however, possible
8699 to turn on such checking by using @option{-Wbidi-chars=unpaired,ucn} or
8700 @option{-Wbidi-chars=any,ucn}. Using @option{-Wbidi-chars=ucn} is valid,
8701 and is equivalent to @option{-Wbidi-chars=unpaired,ucn}, if no previous
8702 @option{-Wbidi-chars=any} was specified.
8704 @opindex Wno-bool-compare
8705 @opindex Wbool-compare
8706 @item -Wbool-compare
8707 Warn about boolean expression compared with an integer value different from
8708 @code{true}/@code{false}. For instance, the following comparison is
8713 if ((n > 1) == 2) @{ @dots{} @}
8715 This warning is enabled by @option{-Wall}.
8717 @opindex Wno-bool-operation
8718 @opindex Wbool-operation
8719 @item -Wbool-operation
8720 Warn about suspicious operations on expressions of a boolean type. For
8721 instance, bitwise negation of a boolean is very likely a bug in the program.
8722 For C, this warning also warns about incrementing or decrementing a boolean,
8723 which rarely makes sense. (In C++, decrementing a boolean is always invalid.
8724 Incrementing a boolean is invalid in C++17, and deprecated otherwise.)
8726 This warning is enabled by @option{-Wall}.
8728 @opindex Wno-duplicated-branches
8729 @opindex Wduplicated-branches
8730 @item -Wduplicated-branches
8731 Warn when an if-else has identical branches. This warning detects cases like
8738 It doesn't warn when both branches contain just a null statement. This warning
8739 also warn for conditional operators:
8741 int i = x ? *p : *p;
8744 @opindex Wno-duplicated-cond
8745 @opindex Wduplicated-cond
8746 @item -Wduplicated-cond
8747 Warn about duplicated conditions in an if-else-if chain. For instance,
8748 warn for the following code:
8750 if (p->q != NULL) @{ @dots{} @}
8751 else if (p->q != NULL) @{ @dots{} @}
8754 @opindex Wno-frame-address
8755 @opindex Wframe-address
8756 @item -Wframe-address
8757 Warn when the @samp{__builtin_frame_address} or @samp{__builtin_return_address}
8758 is called with an argument greater than 0. Such calls may return indeterminate
8759 values or crash the program. The warning is included in @option{-Wall}.
8761 @opindex Wno-discarded-qualifiers
8762 @opindex Wdiscarded-qualifiers
8763 @item -Wno-discarded-qualifiers @r{(C and Objective-C only)}
8764 Do not warn if type qualifiers on pointers are being discarded.
8765 Typically, the compiler warns if a @code{const char *} variable is
8766 passed to a function that takes a @code{char *} parameter. This option
8767 can be used to suppress such a warning.
8769 @opindex Wno-discarded-array-qualifiers
8770 @opindex Wdiscarded-array-qualifiers
8771 @item -Wno-discarded-array-qualifiers @r{(C and Objective-C only)}
8772 Do not warn if type qualifiers on arrays which are pointer targets
8773 are being discarded. Typically, the compiler warns if a
8774 @code{const int (*)[]} variable is passed to a function that
8775 takes a @code{int (*)[]} parameter. This option can be used to
8776 suppress such a warning.
8778 @opindex Wno-incompatible-pointer-types
8779 @opindex Wincompatible-pointer-types
8780 @item -Wno-incompatible-pointer-types @r{(C and Objective-C only)}
8781 Do not warn when there is a conversion between pointers that have incompatible
8782 types. This warning is for cases not covered by @option{-Wno-pointer-sign},
8783 which warns for pointer argument passing or assignment with different
8786 By default, in C99 and later dialects of C, GCC treats this issue as an
8787 error. The error can be downgraded to a warning using
8788 @option{-fpermissive} (along with certain other errors), or for this
8789 error alone, with @option{-Wno-error=incompatible-pointer-types}.
8791 This warning is upgraded to an error by @option{-pedantic-errors}.
8793 @opindex Wno-int-conversion
8794 @opindex Wint-conversion
8795 @item -Wno-int-conversion @r{(C and Objective-C only)}
8796 Do not warn about incompatible integer to pointer and pointer to integer
8797 conversions. This warning is about implicit conversions; for explicit
8798 conversions the warnings @option{-Wno-int-to-pointer-cast} and
8799 @option{-Wno-pointer-to-int-cast} may be used.
8801 By default, in C99 and later dialects of C, GCC treats this issue as an
8802 error. The error can be downgraded to a warning using
8803 @option{-fpermissive} (along with certain other errors), or for this
8804 error alone, with @option{-Wno-error=int-conversion}.
8806 This warning is upgraded to an error by @option{-pedantic-errors}.
8808 @opindex Wzero-length-bounds
8809 @opindex Wzero-length-bounds
8810 @item -Wzero-length-bounds
8811 Warn about accesses to elements of zero-length array members that might
8812 overlap other members of the same object. Declaring interior zero-length
8813 arrays is discouraged because accesses to them are undefined.
8816 For example, the first two stores in function @code{bad} are diagnosed
8817 because the array elements overlap the subsequent members @code{b} and
8818 @code{c}. The third store is diagnosed by @option{-Warray-bounds}
8819 because it is beyond the bounds of the enclosing object.
8822 struct X @{ int a[0]; int b, c; @};
8827 x.a[0] = 0; // -Wzero-length-bounds
8828 x.a[1] = 1; // -Wzero-length-bounds
8829 x.a[2] = 2; // -Warray-bounds
8833 Option @option{-Wzero-length-bounds} is enabled by @option{-Warray-bounds}.
8835 @opindex Wno-div-by-zero
8836 @opindex Wdiv-by-zero
8837 @item -Wno-div-by-zero
8838 Do not warn about compile-time integer division by zero. Floating-point
8839 division by zero is not warned about, as it can be a legitimate way of
8840 obtaining infinities and NaNs.
8842 @opindex Wsystem-headers
8843 @opindex Wno-system-headers
8844 @cindex warnings from system headers
8845 @cindex system headers, warnings from
8846 @item -Wsystem-headers
8847 Print warning messages for constructs found in system header files.
8848 Warnings from system headers are normally suppressed, on the assumption
8849 that they usually do not indicate real problems and would only make the
8850 compiler output harder to read. Using this command-line option tells
8851 GCC to emit warnings from system headers as if they occurred in user
8852 code. However, note that using @option{-Wall} in conjunction with this
8853 option does @emph{not} warn about unknown pragmas in system
8854 headers---for that, @option{-Wunknown-pragmas} must also be used.
8856 @opindex Wtautological-compare
8857 @opindex Wno-tautological-compare
8858 @item -Wtautological-compare
8859 Warn if a self-comparison always evaluates to true or false. This
8860 warning detects various mistakes such as:
8864 if (i > i) @{ @dots{} @}
8867 This warning also warns about bitwise comparisons that always evaluate
8868 to true or false, for instance:
8870 if ((a & 16) == 10) @{ @dots{} @}
8872 will always be false.
8874 This warning is enabled by @option{-Wall}.
8876 @opindex Wtrailing-whitespace
8877 @opindex Wno-trailing-whitespace
8878 @opindex Wtrailing-whitespace=
8879 @item -Wtrailing-whitespace
8880 @itemx -Wtrailing-whitespace=@var{kind}
8881 Warn about trailing whitespace at the end of lines, including inside of
8882 comments, but excluding trailing whitespace in raw string literals.
8883 @code{-Wtrailing-whitespace} is equivalent to
8884 @code{-Wtrailing-whitespace=blanks} and warns just about trailing space and
8885 horizontal tab characters. @code{-Wtrailing-whitespace=any} warns about
8886 those or trailing form feed or vertical tab characters.
8887 @code{-Wno-trailing-whitespace} or @code{-Wtrailing-whitespace=none}
8888 disables the warning, which is the default.
8889 This is a coding style warning.
8891 @opindex Wleading-whitespace=
8892 @item -Wleading-whitespace=@var{kind}
8893 Warn about style issues in leading whitespace, but not about the amount of
8894 indentation. Some projects use coding styles where only spaces are used
8895 for indentation, others use only tabs, others use zero or more tabs (for
8896 multiples of @code{-ftabstop=@var{n}}) followed by zero or fewer than @var{n}
8897 spaces. No warning is emitted on lines which contain solely whitespace
8898 (although @code{-Wtrailing-whitespace=} warning might be emitted), no
8899 warnings are emitted inside of raw string literals. Warnings are also emitted
8900 for leading whitespace inside of multi-line comments.
8901 @code{-Wleading-whitespace=spaces} warns about leading whitespace other than
8902 spaces for projects which want to indent just by spaces.
8903 @code{-Wleading-whitespace=tabs} warns about leading whitespace other than
8904 horizontal tabs for projects which want to indent just by horizontal tabs.
8905 @code{-Wleading-whitespace=blanks} warns about leading whitespace other than
8906 spaces and horizontal tabs, or about horizontal tab after a space in the
8907 leading whitespace, or about @var{n} or more consecutive spaces in leading
8908 whitespace (where @var{n} is argument of @code{-ftabstop=@var{n}}, 8 by
8910 @code{-Wleading-whitespace=none} disables the warning, which is the default.
8911 This is a coding style warning.
8913 @opindex Wtrampolines
8914 @opindex Wno-trampolines
8916 Warn about trampolines generated for pointers to nested functions.
8917 A trampoline is a small piece of data or code that is created at run
8918 time on the stack when the address of a nested function is taken, and is
8919 used to call the nested function indirectly. For some targets, it is
8920 made up of data only and thus requires no special treatment. But, for
8921 most targets, it is made up of code and thus requires the stack to be
8922 made executable in order for the program to work properly.
8924 @opindex Wfloat-equal
8925 @opindex Wno-float-equal
8927 Warn if floating-point values are used in equality comparisons.
8929 The idea behind this is that sometimes it is convenient (for the
8930 programmer) to consider floating-point values as approximations to
8931 infinitely precise real numbers. If you are doing this, then you need
8932 to compute (by analyzing the code, or in some other way) the maximum or
8933 likely maximum error that the computation introduces, and allow for it
8934 when performing comparisons (and when producing output, but that's a
8935 different problem). In particular, instead of testing for equality, you
8936 should check to see whether the two values have ranges that overlap; and
8937 this is done with the relational operators, so equality comparisons are
8940 @opindex Wtraditional
8941 @opindex Wno-traditional
8942 @item -Wtraditional @r{(C and Objective-C only)}
8943 Warn about certain constructs that behave differently in traditional and
8944 ISO C@. Also warn about ISO C constructs that have no traditional C
8945 equivalent, and/or problematic constructs that should be avoided.
8949 Macro parameters that appear within string literals in the macro body.
8950 In traditional C macro replacement takes place within string literals,
8951 but in ISO C it does not.
8954 In traditional C, some preprocessor directives did not exist.
8955 Traditional preprocessors only considered a line to be a directive
8956 if the @samp{#} appeared in column 1 on the line. Therefore
8957 @option{-Wtraditional} warns about directives that traditional C
8958 understands but ignores because the @samp{#} does not appear as the
8959 first character on the line. It also suggests you hide directives like
8960 @code{#pragma} not understood by traditional C by indenting them. Some
8961 traditional implementations do not recognize @code{#elif}, so this option
8962 suggests avoiding it altogether.
8965 A function-like macro that appears without arguments.
8968 The unary plus operator.
8971 The @samp{U} integer constant suffix, or the @samp{F} or @samp{L} floating-point
8972 constant suffixes. (Traditional C does support the @samp{L} suffix on integer
8973 constants.) Note, these suffixes appear in macros defined in the system
8974 headers of most modern systems, e.g.@: the @samp{_MIN}/@samp{_MAX} macros in @code{<limits.h>}.
8975 Use of these macros in user code might normally lead to spurious
8976 warnings, however GCC's integrated preprocessor has enough context to
8977 avoid warning in these cases.
8980 A function declared external in one block and then used after the end of
8984 A @code{switch} statement has an operand of type @code{long}.
8987 A non-@code{static} function declaration follows a @code{static} one.
8988 This construct is not accepted by some traditional C compilers.
8991 The ISO type of an integer constant has a different width or
8992 signedness from its traditional type. This warning is only issued if
8993 the base of the constant is ten. I.e.@: hexadecimal or octal values, which
8994 typically represent bit patterns, are not warned about.
8997 Usage of ISO string concatenation is detected.
9000 Initialization of automatic aggregates.
9003 Identifier conflicts with labels. Traditional C lacks a separate
9004 namespace for labels.
9007 Initialization of unions. If the initializer is zero, the warning is
9008 omitted. This is done under the assumption that the zero initializer in
9009 user code appears conditioned on e.g.@: @code{__STDC__} to avoid missing
9010 initializer warnings and relies on default initialization to zero in the
9014 Conversions by prototypes between fixed/floating-point values and vice
9015 versa. The absence of these prototypes when compiling with traditional
9016 C causes serious problems. This is a subset of the possible
9017 conversion warnings; for the full set use @option{-Wtraditional-conversion}.
9020 Use of ISO C style function definitions. This warning intentionally is
9021 @emph{not} issued for prototype declarations or variadic functions
9022 because these ISO C features appear in your code when using
9023 libiberty's traditional C compatibility macros, @code{PARAMS} and
9024 @code{VPARAMS}. This warning is also bypassed for nested functions
9025 because that feature is already a GCC extension and thus not relevant to
9026 traditional C compatibility.
9029 @opindex Wtraditional-conversion
9030 @opindex Wno-traditional-conversion
9031 @item -Wtraditional-conversion @r{(C and Objective-C only)}
9032 Warn if a prototype causes a type conversion that is different from what
9033 would happen to the same argument in the absence of a prototype. This
9034 includes conversions of fixed point to floating and vice versa, and
9035 conversions changing the width or signedness of a fixed-point argument
9036 except when the same as the default promotion.
9038 @opindex Wdeclaration-after-statement
9039 @opindex Wno-declaration-after-statement
9040 @item -Wdeclaration-after-statement @r{(C and Objective-C only)}
9041 Warn when a declaration is found after a statement in a block. This
9042 construct, known from C++, was introduced with ISO C99 and is by default
9043 allowed in GCC@. It is not supported by ISO C90. @xref{Mixed Labels and Declarations}.
9045 This warning is upgraded to an error by @option{-pedantic-errors}.
9050 Warn whenever a local variable or type declaration shadows another
9051 variable, parameter, type, class member (in C++), or instance variable
9052 (in Objective-C) or whenever a built-in function is shadowed. Note
9053 that in C++, the compiler warns if a local variable shadows an
9054 explicit typedef, but not if it shadows a struct/class/enum.
9055 If this warning is enabled, it includes also all instances of
9056 local shadowing. This means that @option{-Wno-shadow=local}
9057 and @option{-Wno-shadow=compatible-local} are ignored when
9058 @option{-Wshadow} is used.
9059 Same as @option{-Wshadow=global}.
9061 @opindex Wno-shadow-ivar
9062 @opindex Wshadow-ivar
9063 @item -Wno-shadow-ivar @r{(Objective-C only)}
9064 Do not warn whenever a local variable shadows an instance variable in an
9067 @opindex Wshadow=global
9068 @item -Wshadow=global
9069 Warn for any shadowing.
9070 Same as @option{-Wshadow}.
9072 @opindex Wshadow=local
9073 @item -Wshadow=local
9074 Warn when a local variable shadows another local variable or parameter.
9076 @opindex Wshadow=compatible-local
9077 @item -Wshadow=compatible-local
9078 Warn when a local variable shadows another local variable or parameter
9079 whose type is compatible with that of the shadowing variable. In C++,
9080 type compatibility here means the type of the shadowing variable can be
9081 converted to that of the shadowed variable. The creation of this flag
9082 (in addition to @option{-Wshadow=local}) is based on the idea that when
9083 a local variable shadows another one of incompatible type, it is most
9084 likely intentional, not a bug or typo, as shown in the following example:
9088 for (SomeIterator i = SomeObj.begin(); i != SomeObj.end(); ++i)
9090 for (int i = 0; i < N; ++i)
9099 Since the two variable @code{i} in the example above have incompatible types,
9100 enabling only @option{-Wshadow=compatible-local} does not emit a warning.
9101 Because their types are incompatible, if a programmer accidentally uses one
9102 in place of the other, type checking is expected to catch that and emit an
9103 error or warning. Use of this flag instead of @option{-Wshadow=local} can
9104 possibly reduce the number of warnings triggered by intentional shadowing.
9105 Note that this also means that shadowing @code{const char *i} by
9106 @code{char *i} does not emit a warning.
9108 This warning is also enabled by @option{-Wshadow=local}.
9110 @opindex Wlarger-than=
9111 @opindex Wlarger-than-@var{byte-size}
9112 @item -Wlarger-than=@var{byte-size}
9113 Warn whenever an object is defined whose size exceeds @var{byte-size}.
9114 @option{-Wlarger-than=}@samp{PTRDIFF_MAX} is enabled by default.
9115 Warnings controlled by the option can be disabled either by specifying
9116 @var{byte-size} of @samp{SIZE_MAX} or more or by @option{-Wno-larger-than}.
9118 Also warn for calls to bounded functions such as @code{memchr} or
9119 @code{strnlen} that specify a bound greater than the largest possible
9120 object, which is @samp{PTRDIFF_MAX} bytes by default. These warnings
9121 can only be disabled by @option{-Wno-larger-than}.
9123 @opindex Wno-larger-than
9124 @item -Wno-larger-than
9125 Disable @option{-Wlarger-than=} warnings. The option is equivalent
9126 to @option{-Wlarger-than=}@samp{SIZE_MAX} or larger.
9128 @opindex Wframe-larger-than=
9129 @opindex Wno-frame-larger-than
9130 @item -Wframe-larger-than=@var{byte-size}
9131 Warn if the size of a function frame exceeds @var{byte-size}.
9132 The computation done to determine the stack frame size is approximate
9133 and not conservative.
9134 The actual requirements may be somewhat greater than @var{byte-size}
9135 even if you do not get a warning. In addition, any space allocated
9136 via @code{alloca}, variable-length arrays, or related constructs
9137 is not included by the compiler when determining
9138 whether or not to issue a warning.
9139 @option{-Wframe-larger-than=}@samp{PTRDIFF_MAX} is enabled by default.
9140 Warnings controlled by the option can be disabled either by specifying
9141 @var{byte-size} of @samp{SIZE_MAX} or more or by
9142 @option{-Wno-frame-larger-than}.
9144 @opindex Wno-frame-larger-than
9145 @item -Wno-frame-larger-than
9146 Disable @option{-Wframe-larger-than=} warnings. The option is equivalent
9147 to @option{-Wframe-larger-than=}@samp{SIZE_MAX} or larger.
9149 @opindex Wfree-nonheap-object
9150 @opindex Wno-free-nonheap-object
9151 @item -Wfree-nonheap-object
9152 Warn when attempting to deallocate an object that was either not allocated
9153 on the heap, or by using a pointer that was not returned from a prior call
9154 to the corresponding allocation function. For example, because the call
9155 to @code{stpcpy} returns a pointer to the terminating nul character and
9156 not to the beginning of the object, the call to @code{free} below is
9162 p = stpcpy (p, "abc");
9164 free (p); // warning
9168 @option{-Wfree-nonheap-object} is included in @option{-Wall}.
9170 @opindex Wstack-usage
9171 @opindex Wno-stack-usage
9172 @item -Wstack-usage=@var{byte-size}
9173 Warn if the stack usage of a function might exceed @var{byte-size}.
9174 The computation done to determine the stack usage is conservative.
9175 Any space allocated via @code{alloca}, variable-length arrays, or related
9176 constructs is included by the compiler when determining whether or not to
9179 The message is in keeping with the output of @option{-fstack-usage}.
9183 If the stack usage is fully static but exceeds the specified amount, it's:
9186 warning: stack usage is 1120 bytes
9189 If the stack usage is (partly) dynamic but bounded, it's:
9192 warning: stack usage might be 1648 bytes
9195 If the stack usage is (partly) dynamic and not bounded, it's:
9198 warning: stack usage might be unbounded
9202 @option{-Wstack-usage=}@samp{PTRDIFF_MAX} is enabled by default.
9203 Warnings controlled by the option can be disabled either by specifying
9204 @var{byte-size} of @samp{SIZE_MAX} or more or by
9205 @option{-Wno-stack-usage}.
9207 @opindex Wno-stack-usage
9208 @item -Wno-stack-usage
9209 Disable @option{-Wstack-usage=} warnings. The option is equivalent
9210 to @option{-Wstack-usage=}@samp{SIZE_MAX} or larger.
9212 @opindex Wunsafe-loop-optimizations
9213 @opindex Wno-unsafe-loop-optimizations
9214 @item -Wunsafe-loop-optimizations
9215 Warn if the loop cannot be optimized because the compiler cannot
9216 assume anything on the bounds of the loop indices. With
9217 @option{-funsafe-loop-optimizations} warn if the compiler makes
9220 @opindex Wno-pedantic-ms-format
9221 @opindex Wpedantic-ms-format
9222 @item -Wno-pedantic-ms-format @r{(MinGW targets only)}
9223 When used in combination with @option{-Wformat}
9224 and @option{-pedantic} without GNU extensions, this option
9225 disables the warnings about non-ISO @code{printf} / @code{scanf} format
9226 width specifiers @code{I32}, @code{I64}, and @code{I} used on Windows targets,
9227 which depend on the MS runtime.
9229 @opindex Wpointer-arith
9230 @opindex Wno-pointer-arith
9231 @item -Wpointer-arith
9232 Warn about anything that depends on the ``size of'' a function type or
9233 of @code{void}. GNU C assigns these types a size of 1, for
9234 convenience in calculations with @code{void *} pointers and pointers
9235 to functions. In C++, warn also when an arithmetic operation involves
9236 @code{NULL}. This warning is also enabled by @option{-Wpedantic}.
9238 This warning is upgraded to an error by @option{-pedantic-errors}.
9240 @opindex Wpointer-compare
9241 @opindex Wno-pointer-compare
9242 @item -Wno-pointer-compare
9243 Do not warn if a pointer is compared with a zero character constant.
9245 means that the pointer was meant to be dereferenced. For example:
9248 const char *p = foo ();
9253 Note that the code above is invalid in C++11.
9255 This warning is enabled by default.
9261 Disable warnings about unsupported features in ThreadSanitizer.
9263 ThreadSanitizer does not support @code{std::atomic_thread_fence} and
9264 can report false positives.
9266 @opindex Wtype-limits
9267 @opindex Wno-type-limits
9269 Warn if a comparison is always true or always false due to the limited
9270 range of the data type, but do not warn for constant expressions. For
9271 example, warn if an unsigned variable is compared against zero with
9272 @code{<} or @code{>=}. This warning is also enabled by
9275 @opindex Wabsolute-value
9276 @opindex Wno-absolute-value
9277 @item -Wabsolute-value @r{(C and Objective-C only)}
9278 Warn for calls to standard functions that compute the absolute value
9279 of an argument when a more appropriate standard function is available.
9280 For example, calling @code{abs(3.14)} triggers the warning because the
9281 appropriate function to call to compute the absolute value of a double
9282 argument is @code{fabs}. The option also triggers warnings when the
9283 argument in a call to such a function has an unsigned type. This
9284 warning can be suppressed with an explicit type cast and it is also
9285 enabled by @option{-Wextra}.
9287 @include cppwarnopts.texi
9289 @opindex Wbad-function-cast
9290 @opindex Wno-bad-function-cast
9291 @item -Wbad-function-cast @r{(C and Objective-C only)}
9292 Warn when a function call is cast to a non-matching type.
9293 For example, warn if a call to a function returning an integer type
9294 is cast to a pointer type.
9296 @opindex Wc90-c99-compat
9297 @opindex Wno-c90-c99-compat
9298 @item -Wc90-c99-compat @r{(C and Objective-C only)}
9299 Warn about features not present in ISO C90, but present in ISO C99.
9300 For instance, warn about use of variable length arrays, @code{long long}
9301 type, @code{bool} type, compound literals, designated initializers, and so
9302 on. This option is independent of the standards mode. Warnings are disabled
9303 in the expression that follows @code{__extension__}.
9305 @opindex Wc99-c11-compat
9306 @opindex Wno-c99-c11-compat
9307 @item -Wc99-c11-compat @r{(C and Objective-C only)}
9308 Warn about features not present in ISO C99, but present in ISO C11.
9309 For instance, warn about use of anonymous structures and unions,
9310 @code{_Atomic} type qualifier, @code{_Thread_local} storage-class specifier,
9311 @code{_Alignas} specifier, @code{Alignof} operator, @code{_Generic} keyword,
9312 and so on. This option is independent of the standards mode. Warnings are
9313 disabled in the expression that follows @code{__extension__}.
9315 @opindex Wc11-c23-compat
9316 @opindex Wno-c11-c23-compat
9317 @item -Wc11-c23-compat @r{(C and Objective-C only)}
9318 @itemx -Wc11-c2x-compat @r{(C and Objective-C only)}
9319 Warn about features not present in ISO C11, but present in ISO C23.
9320 For instance, warn about omitting the string in @code{_Static_assert},
9321 use of @samp{[[]]} syntax for attributes, use of decimal
9322 floating-point types, and so on. This option is independent of the
9323 standards mode. Warnings are disabled in the expression that follows
9324 @code{__extension__}. The name @option{-Wc11-c2x-compat} is
9327 When not compiling in C23 mode, these warnings are upgraded to errors
9328 by @option{-pedantic-errors}.
9330 @opindex Wc23-c2y-compat
9331 @opindex Wno-c23-c2y-compat
9332 @item -Wc23-c2y-compat @r{(C and Objective-C only)}
9333 @itemx -Wc23-c2y-compat @r{(C and Objective-C only)}
9334 Warn about features not present in ISO C23, but present in ISO C2Y.
9335 For instance, warn about @code{_Generic} selecting with a type name
9336 instead of an expression. This option is independent of the standards
9337 mode. Warnings are disabled in the expression that follows
9338 @code{__extension__}.
9340 When not compiling in C2Y mode, these warnings are upgraded to errors
9341 by @option{-pedantic-errors}.
9343 @opindex Wc++-compat
9344 @opindex Wno-c++-compat
9345 @item -Wc++-compat @r{(C and Objective-C only)}
9346 Warn about ISO C constructs that are outside of the common subset of
9347 ISO C and ISO C++, e.g.@: request for implicit conversion from
9348 @code{void *} to a pointer to non-@code{void} type.
9350 @opindex Wc++11-compat
9351 @opindex Wno-c++11-compat
9352 @item -Wc++11-compat @r{(C++ and Objective-C++ only)}
9353 Warn about C++ constructs whose meaning differs between ISO C++ 1998
9354 and ISO C++ 2011, e.g., identifiers in ISO C++ 1998 that are keywords
9355 in ISO C++ 2011. This warning turns on @option{-Wnarrowing} and is
9356 enabled by @option{-Wall}.
9358 @opindex Wc++14-compat
9359 @opindex Wno-c++14-compat
9360 @item -Wc++14-compat @r{(C++ and Objective-C++ only)}
9361 Warn about C++ constructs whose meaning differs between ISO C++ 2011
9362 and ISO C++ 2014. This warning is enabled by @option{-Wall}.
9364 @opindex Wc++17-compat
9365 @opindex Wno-c++17-compat
9366 @item -Wc++17-compat @r{(C++ and Objective-C++ only)}
9367 Warn about C++ constructs whose meaning differs between ISO C++ 2014
9368 and ISO C++ 2017. This warning is enabled by @option{-Wall}.
9370 @opindex Wc++20-compat
9371 @opindex Wno-c++20-compat
9372 @item -Wc++20-compat @r{(C++ and Objective-C++ only)}
9373 Warn about C++ constructs whose meaning differs between ISO C++ 2017
9374 and ISO C++ 2020. This warning is enabled by @option{-Wall}.
9376 @opindex Wc++11-extensions
9377 @opindex Wno-c++11-extensions
9378 @item -Wno-c++11-extensions @r{(C++ and Objective-C++ only)}
9379 Do not warn about C++11 constructs in code being compiled using
9380 an older C++ standard. Even without this option, some C++11 constructs
9381 will only be diagnosed if @option{-Wpedantic} is used.
9383 @opindex Wc++14-extensions
9384 @opindex Wno-c++14-extensions
9385 @item -Wno-c++14-extensions @r{(C++ and Objective-C++ only)}
9386 Do not warn about C++14 constructs in code being compiled using
9387 an older C++ standard. Even without this option, some C++14 constructs
9388 will only be diagnosed if @option{-Wpedantic} is used.
9390 @opindex Wc++17-extensions
9391 @opindex Wno-c++17-extensions
9392 @item -Wno-c++17-extensions @r{(C++ and Objective-C++ only)}
9393 Do not warn about C++17 constructs in code being compiled using
9394 an older C++ standard. Even without this option, some C++17 constructs
9395 will only be diagnosed if @option{-Wpedantic} is used.
9397 @opindex Wc++20-extensions
9398 @opindex Wno-c++20-extensions
9399 @item -Wno-c++20-extensions @r{(C++ and Objective-C++ only)}
9400 Do not warn about C++20 constructs in code being compiled using
9401 an older C++ standard. Even without this option, some C++20 constructs
9402 will only be diagnosed if @option{-Wpedantic} is used.
9404 @opindex Wc++23-extensions
9405 @opindex Wno-c++23-extensions
9406 @item -Wno-c++23-extensions @r{(C++ and Objective-C++ only)}
9407 Do not warn about C++23 constructs in code being compiled using
9408 an older C++ standard. Even without this option, some C++23 constructs
9409 will only be diagnosed if @option{-Wpedantic} is used.
9411 @opindex Wc++26-extensions
9412 @opindex Wno-c++26-extensions
9413 @item -Wno-c++26-extensions @r{(C++ and Objective-C++ only)}
9414 Do not warn about C++26 constructs in code being compiled using
9415 an older C++ standard. Even without this option, some C++26 constructs
9416 will only be diagnosed if @option{-Wpedantic} is used.
9419 @opindex Wno-cast-qual
9421 Warn whenever a pointer is cast so as to remove a type qualifier from
9422 the target type. For example, warn if a @code{const char *} is cast
9423 to an ordinary @code{char *}.
9425 Also warn when making a cast that introduces a type qualifier in an
9426 unsafe way. For example, casting @code{char **} to @code{const char **}
9427 is unsafe, as in this example:
9430 /* p is char ** value. */
9431 const char **q = (const char **) p;
9432 /* Assignment of readonly string to const char * is OK. */
9434 /* Now char** pointer points to read-only memory. */
9438 @opindex Wcast-align
9439 @opindex Wno-cast-align
9441 Warn whenever a pointer is cast such that the required alignment of the
9442 target is increased. For example, warn if a @code{char *} is cast to
9443 an @code{int *} on machines where integers can only be accessed at
9444 two- or four-byte boundaries.
9446 @opindex Wcast-align=strict
9447 @item -Wcast-align=strict
9448 Warn whenever a pointer is cast such that the required alignment of the
9449 target is increased. For example, warn if a @code{char *} is cast to
9450 an @code{int *} regardless of the target machine.
9452 @opindex Wcast-function-type
9453 @opindex Wno-cast-function-type
9454 @item -Wcast-function-type
9455 Warn when a function pointer is cast to an incompatible function pointer.
9456 In a cast involving function types with a variable argument list only
9457 the types of initial arguments that are provided are considered.
9458 Any parameter of pointer-type matches any other pointer-type. Any benign
9459 differences in integral types are ignored, like @code{int} vs.@: @code{long}
9460 on ILP32 targets. Likewise type qualifiers are ignored. The function
9461 type @code{void (*) (void)} is special and matches everything, which can
9462 be used to suppress this warning.
9463 In a cast involving pointer to member types this warning warns whenever
9464 the type cast is changing the pointer to member type.
9465 This warning is enabled by @option{-Wextra}.
9467 @opindex Wcast-user-defined
9468 @opindex Wno-cast-user-defined
9469 @item -Wcast-user-defined
9470 Warn when a cast to reference type does not involve a user-defined
9471 conversion that the programmer might expect to be called.
9474 struct A @{ operator const int&(); @} a;
9475 auto r = (int&)a; // warning
9478 This warning is enabled by default.
9480 @opindex Wwrite-strings
9481 @opindex Wno-write-strings
9482 @item -Wwrite-strings
9483 When compiling C, give string constants the type @code{const
9484 char[@var{length}]} so that copying the address of one into a
9485 non-@code{const} @code{char *} pointer produces a warning. These
9486 warnings help you find at compile time code that can try to write
9487 into a string constant, but only if you have been very careful about
9488 using @code{const} in declarations and prototypes. Otherwise, it is
9489 just a nuisance. This is why we did not make @option{-Wall} request
9492 When compiling C++, warn about the deprecated conversion from string
9493 literals to @code{char *}. This warning is enabled by default for C++
9496 This warning is upgraded to an error by @option{-pedantic-errors} in
9497 C++11 mode or later.
9500 @opindex Wno-clobbered
9502 Warn for variables that might be changed by @code{longjmp} or
9503 @code{vfork}. This warning is also enabled by @option{-Wextra}.
9505 @opindex Wcomplain-wrong-lang
9506 @opindex Wno-complain-wrong-lang
9507 @item -Wno-complain-wrong-lang
9508 By default, language front ends complain when a command-line option is
9509 valid, but not applicable to that front end.
9510 This may be disabled with @option{-Wno-complain-wrong-lang},
9511 which is mostly useful when invoking a single compiler driver for
9512 multiple source files written in different languages, for example:
9515 $ g++ -fno-rtti a.cc b.f90
9518 The driver @file{g++} invokes the C++ front end to compile @file{a.cc}
9519 and the Fortran front end to compile @file{b.f90}.
9520 The latter front end diagnoses
9521 @samp{f951: Warning: command-line option '-fno-rtti' is valid for C++/D/ObjC++ but not for Fortran},
9522 which may be disabled with @option{-Wno-complain-wrong-lang}.
9524 @opindex Wcompare-distinct-pointer-types
9525 @item -Wcompare-distinct-pointer-types @r{(C and Objective-C only)}
9526 Warn if pointers of distinct types are compared without a cast. This
9527 warning is enabled by default.
9529 @opindex Wconversion
9530 @opindex Wno-conversion
9532 Warn for implicit conversions that may alter a value. This includes
9533 conversions between real and integer, like @code{abs (x)} when
9534 @code{x} is @code{double}; conversions between signed and unsigned,
9535 like @code{unsigned ui = -1}; and conversions to smaller types, like
9536 @code{sqrtf (M_PI)}. Do not warn for explicit casts like @code{abs
9537 ((int) x)} and @code{ui = (unsigned) -1}, or if the value is not
9538 changed by the conversion like in @code{abs (2.0)}. Warnings about
9539 conversions between signed and unsigned integers can be disabled by
9540 using @option{-Wno-sign-conversion}.
9542 For C++, also warn for confusing overload resolution for user-defined
9543 conversions; and conversions that never use a type conversion
9544 operator: conversions to @code{void}, the same type, a base class or a
9545 reference to them. Warnings about conversions between signed and
9546 unsigned integers are disabled by default in C++ unless
9547 @option{-Wsign-conversion} is explicitly enabled.
9549 Warnings about conversion from arithmetic on a small type back to that
9550 type are only given with @option{-Warith-conversion}.
9552 @opindex Wdangling-else
9553 @opindex Wno-dangling-else
9554 @item -Wdangling-else
9555 Warn about constructions where there may be confusion to which
9556 @code{if} statement an @code{else} branch belongs. Here is an example of
9571 In C/C++, every @code{else} branch belongs to the innermost possible
9572 @code{if} statement, which in this example is @code{if (b)}. This is
9573 often not what the programmer expected, as illustrated in the above
9574 example by indentation the programmer chose. When there is the
9575 potential for this confusion, GCC issues a warning when this flag
9576 is specified. To eliminate the warning, add explicit braces around
9577 the innermost @code{if} statement so there is no way the @code{else}
9578 can belong to the enclosing @code{if}. The resulting code
9595 This warning is enabled by @option{-Wparentheses}.
9597 @opindex Wdangling-pointer
9598 @opindex Wno-dangling-pointer
9599 @item -Wdangling-pointer
9600 @itemx -Wdangling-pointer=@var{n}
9601 Warn about uses of pointers (or C++ references) to objects with automatic
9602 storage duration after their lifetime has ended. This includes local
9603 variables declared in nested blocks, compound literals and other unnamed
9604 temporary objects. In addition, warn about storing the address of such
9605 objects in escaped pointers. The warning is enabled at all optimization
9606 levels but may yield different results with optimization than without.
9609 @item -Wdangling-pointer=1
9610 At level 1, the warning diagnoses only unconditional uses of dangling pointers.
9612 @item -Wdangling-pointer=2
9613 At level 2, in addition to unconditional uses the warning also diagnoses
9614 conditional uses of dangling pointers.
9617 The short form @option{-Wdangling-pointer} is equivalent to
9618 @option{-Wdangling-pointer=2}, while @option{-Wno-dangling-pointer} and
9619 @option{-Wdangling-pointer=0} have the same effect of disabling the warnings.
9620 @option{-Wdangling-pointer=2} is included in @option{-Wall}.
9622 This example triggers the warning at level 1; the address of the unnamed
9623 temporary is unconditionally referenced outside of its scope.
9626 char f (char c1, char c2, char c3)
9630 p = (char[]) @{ c1, c2, c3 @};
9632 // warning: using dangling pointer 'p' to an unnamed temporary
9637 In the following function the store of the address of the local variable
9638 @code{x} in the escaped pointer @code{*p} triggers the warning at
9645 // warning: storing the address of local variable 'x' in '*p'
9650 In this example, the array @var{a} is out of
9651 scope when the pointer @var{s} is used. Since the code that sets @code{s}
9652 is conditional, the warning triggers at level 2.
9655 extern void frob (const char *);
9660 char a[12] = "tmpname";
9663 // warning: dangling pointer 's' to 'a' may be used
9669 @opindex Wno-date-time
9671 Warn when macros @code{__TIME__}, @code{__DATE__} or @code{__TIMESTAMP__}
9672 are encountered as they might prevent bit-wise-identical reproducible
9675 @opindex Wempty-body
9676 @opindex Wno-empty-body
9678 Warn if an empty body occurs in an @code{if}, @code{else} or @code{do
9679 while} statement. This warning is also enabled by @option{-Wextra}.
9681 @opindex Wendif-labels
9682 @opindex Wno-endif-labels
9683 @item -Wno-endif-labels
9684 Do not warn about stray tokens after @code{#else} and @code{#endif}.
9686 @opindex Wenum-compare
9687 @opindex Wno-enum-compare
9688 @item -Wenum-compare
9689 Warn about a comparison between values of different enumerated types.
9690 In C++ enumerated type mismatches in conditional expressions are also
9691 diagnosed and the warning is enabled by default. In C this warning is
9692 enabled by @option{-Wall}.
9694 @opindex Wenum-conversion
9695 @opindex Wno-enum-conversion
9696 @item -Wenum-conversion
9697 Warn when a value of enumerated type is implicitly converted to a
9698 different enumerated type. This warning is enabled by @option{-Wextra}
9701 @opindex Wenum-int-mismatch
9702 @opindex Wno-enum-int-mismatch
9703 @item -Wenum-int-mismatch @r{(C and Objective-C only)}
9704 Warn about mismatches between an enumerated type and an integer type in
9705 declarations. For example:
9708 enum E @{ l = -1, z = 0, g = 1 @};
9713 In C, an enumerated type is compatible with @code{char}, a signed
9714 integer type, or an unsigned integer type. However, since the choice
9715 of the underlying type of an enumerated type is implementation-defined,
9716 such mismatches may cause portability issues. In C++, such mismatches
9717 are an error. In C, this warning is enabled by @option{-Wall} and
9718 @option{-Wc++-compat}.
9720 @opindex Wjump-misses-init
9721 @opindex Wno-jump-misses-init
9722 @item -Wjump-misses-init @r{(C, Objective-C only)}
9723 Warn if a @code{goto} statement or a @code{switch} statement jumps
9724 forward across the initialization of a variable, or jumps backward to a
9725 label after the variable has been initialized. This only warns about
9726 variables that are initialized when they are declared. This warning is
9727 only supported for C and Objective-C; in C++ this sort of branch is an
9730 @option{-Wjump-misses-init} is included in @option{-Wc++-compat}. It
9731 can be disabled with the @option{-Wno-jump-misses-init} option.
9733 @opindex Wsign-compare
9734 @opindex Wno-sign-compare
9735 @cindex warning for comparison of signed and unsigned values
9736 @cindex comparison of signed and unsigned values, warning
9737 @cindex signed and unsigned values, comparison warning
9738 @item -Wsign-compare
9739 Warn when a comparison between signed and unsigned values could produce
9740 an incorrect result when the signed value is converted to unsigned.
9741 In C++, this warning is also enabled by @option{-Wall}. In C, it is
9742 also enabled by @option{-Wextra}.
9744 @opindex Wsign-conversion
9745 @opindex Wno-sign-conversion
9746 @item -Wsign-conversion
9747 Warn for implicit conversions that may change the sign of an integer
9748 value, like assigning a signed integer expression to an unsigned
9749 integer variable. An explicit cast silences the warning. In C, this
9750 option is enabled also by @option{-Wconversion}.
9752 @opindex Wflex-array-member-not-at-end
9753 @opindex Wno-flex-array-member-not-at-end
9754 @item -Wflex-array-member-not-at-end @r{(C and C++ only)}
9755 Warn when a structure containing a C99 flexible array member as the last
9756 field is not at the end of another structure.
9757 This warning warns e.g. about
9760 struct flex @{ int length; char data[]; @};
9761 struct mid_flex @{ int m; struct flex flex_data; int n; @};
9764 @opindex Wfloat-conversion
9765 @opindex Wno-float-conversion
9766 @item -Wfloat-conversion
9767 Warn for implicit conversions that reduce the precision of a real value.
9768 This includes conversions from real to integer, and from higher precision
9769 real to lower precision real values. This option is also enabled by
9770 @option{-Wconversion}.
9772 @opindex Wno-scalar-storage-order
9773 @opindex Wscalar-storage-order
9774 @item -Wno-scalar-storage-order
9775 Do not warn on suspicious constructs involving reverse scalar storage order.
9777 @opindex Wsizeof-array-div
9778 @opindex Wno-sizeof-array-div
9779 @item -Wsizeof-array-div
9780 Warn about divisions of two sizeof operators when the first one is applied
9781 to an array and the divisor does not equal the size of the array element.
9782 In such a case, the computation will not yield the number of elements in the
9783 array, which is likely what the user intended. This warning warns e.g. about
9788 return sizeof (arr) / sizeof (short);
9792 This warning is enabled by @option{-Wall}.
9794 @opindex Wsizeof-pointer-div
9795 @opindex Wno-sizeof-pointer-div
9796 @item -Wsizeof-pointer-div
9797 Warn for suspicious divisions of two sizeof expressions that divide
9798 the pointer size by the element size, which is the usual way to compute
9799 the array size but won't work out correctly with pointers. This warning
9800 warns e.g.@: about @code{sizeof (ptr) / sizeof (ptr[0])} if @code{ptr} is
9801 not an array, but a pointer. This warning is enabled by @option{-Wall}.
9803 @opindex Wsizeof-pointer-memaccess
9804 @opindex Wno-sizeof-pointer-memaccess
9805 @item -Wsizeof-pointer-memaccess
9806 Warn for suspicious length parameters to certain string and memory built-in
9807 functions if the argument uses @code{sizeof}. This warning triggers for
9808 example for @code{memset (ptr, 0, sizeof (ptr));} if @code{ptr} is not
9809 an array, but a pointer, and suggests a possible fix, or about
9810 @code{memcpy (&foo, ptr, sizeof (&foo));}. @option{-Wsizeof-pointer-memaccess}
9811 also warns about calls to bounded string copy functions like @code{strncat}
9812 or @code{strncpy} that specify as the bound a @code{sizeof} expression of
9813 the source array. For example, in the following function the call to
9814 @code{strncat} specifies the size of the source string as the bound. That
9815 is almost certainly a mistake and so the call is diagnosed.
9817 void make_file (const char *name)
9819 char path[PATH_MAX];
9820 strncpy (path, name, sizeof path - 1);
9821 strncat (path, ".text", sizeof ".text");
9826 The @option{-Wsizeof-pointer-memaccess} option is enabled by @option{-Wall}.
9828 @opindex Wsizeof-array-argument
9829 @opindex Wno-sizeof-array-argument
9830 @item -Wno-sizeof-array-argument
9831 Do not warn when the @code{sizeof} operator is applied to a parameter that is
9832 declared as an array in a function definition. This warning is enabled by
9833 default for C and C++ programs.
9835 @opindex Wmemset-elt-size
9836 @opindex Wno-memset-elt-size
9837 @item -Wmemset-elt-size
9838 Warn for suspicious calls to the @code{memset} built-in function, if the
9839 first argument references an array, and the third argument is a number
9840 equal to the number of elements, but not equal to the size of the array
9841 in memory. This indicates that the user has omitted a multiplication by
9842 the element size. This warning is enabled by @option{-Wall}.
9844 @opindex Wmemset-transposed-args
9845 @opindex Wno-memset-transposed-args
9846 @item -Wmemset-transposed-args
9847 Warn for suspicious calls to the @code{memset} built-in function where
9848 the second argument is not zero and the third argument is zero. For
9849 example, the call @code{memset (buf, sizeof buf, 0)} is diagnosed because
9850 @code{memset (buf, 0, sizeof buf)} was meant instead. The diagnostic
9851 is only emitted if the third argument is a literal zero. Otherwise, if
9852 it is an expression that is folded to zero, or a cast of zero to some
9853 type, it is far less likely that the arguments have been mistakenly
9854 transposed and no warning is emitted. This warning is enabled
9858 @opindex Wno-address
9860 Warn about suspicious uses of address expressions. These include comparing
9861 the address of a function or a declared object to the null pointer constant
9867 if (!f) // warning: expression evaluates to false
9871 comparisons of a pointer to a string literal, such as in
9873 void f (const char *x)
9875 if (x == "abc") // warning: expression evaluates to false
9879 and tests of the results of pointer addition or subtraction for equality
9882 void f (const int *p, int i)
9884 return p + i == NULL;
9887 Such uses typically indicate a programmer error: the address of most
9888 functions and objects necessarily evaluates to true (the exception are
9889 weak symbols), so their use in a conditional might indicate missing
9890 parentheses in a function call or a missing dereference in an array
9891 expression. The subset of the warning for object pointers can be
9892 suppressed by casting the pointer operand to an integer type such
9893 as @code{intptr_t} or @code{uintptr_t}.
9894 Comparisons against string literals result in unspecified behavior
9895 and are not portable, and suggest the intent was to call @code{strcmp}.
9896 The warning is suppressed if the suspicious expression is the result
9898 @option{-Waddress} warning is enabled by @option{-Wall}.
9900 @opindex Waddress-of-packed-member
9901 @opindex Wno-address-of-packed-member
9902 @item -Wno-address-of-packed-member
9903 Do not warn when the address of packed member of struct or union is taken,
9904 which usually results in an unaligned pointer value. This is
9907 @opindex Wlogical-op
9908 @opindex Wno-logical-op
9910 Warn about suspicious uses of logical operators in expressions.
9911 This includes using logical operators in contexts where a
9912 bit-wise operator is likely to be expected. Also warns when
9913 the operands of a logical operator are the same:
9916 if (a < 0 && a < 0) @{ @dots{} @}
9919 @opindex Wlogical-not-parentheses
9920 @opindex Wno-logical-not-parentheses
9921 @item -Wlogical-not-parentheses
9922 Warn about logical not used on the left hand side operand of a comparison.
9923 This option does not warn if the right operand is considered to be a boolean
9924 expression. Its purpose is to detect suspicious code like the following:
9928 if (!a > 1) @{ @dots{} @}
9931 It is possible to suppress the warning by wrapping the LHS into
9934 if ((!a) > 1) @{ @dots{} @}
9937 This warning is enabled by @option{-Wall}.
9939 @opindex Waggregate-return
9940 @opindex Wno-aggregate-return
9941 @item -Waggregate-return
9942 Warn if any functions that return structures or unions are defined or
9943 called. (In languages where you can return an array, this also elicits
9946 @opindex Wno-aggressive-loop-optimizations
9947 @opindex Waggressive-loop-optimizations
9948 @item -Wno-aggressive-loop-optimizations
9949 Warn if in a loop with constant number of iterations the compiler detects
9950 undefined behavior in some statement during one or more of the iterations.
9952 @opindex Wno-attributes
9953 @opindex Wattributes
9954 @item -Wno-attributes
9955 Do not warn if an unexpected @code{__attribute__} is used, such as
9956 unrecognized attributes, function attributes applied to variables,
9957 etc. This does not stop errors for incorrect use of supported
9960 Warnings about ill-formed uses of standard attributes are upgraded to
9961 errors by @option{-pedantic-errors}.
9963 Additionally, using @option{-Wno-attributes=}, it is possible to suppress
9964 warnings about unknown scoped attributes (in C++11 and C23). For example,
9965 @option{-Wno-attributes=vendor::attr} disables warning about the following
9969 [[vendor::attr]] void f();
9972 It is also possible to disable warning about all attributes in a namespace
9973 using @option{-Wno-attributes=vendor::} which prevents warning about both
9974 of these declarations:
9977 [[vendor::safe]] void f();
9978 [[vendor::unsafe]] void f2();
9981 Note that @option{-Wno-attributes=} does not imply @option{-Wno-attributes}.
9983 @opindex Wno-builtin-declaration-mismatch
9984 @opindex Wbuiltin-declaration-mismatch
9985 @item -Wno-builtin-declaration-mismatch
9986 Warn if a built-in function is declared with an incompatible signature
9987 or as a non-function, or when a built-in function declared with a type
9988 that does not include a prototype is called with arguments whose promoted
9989 types do not match those expected by the function. When @option{-Wextra}
9990 is specified, also warn when a built-in function that takes arguments is
9991 declared without a prototype. The @option{-Wbuiltin-declaration-mismatch}
9992 warning is enabled by default. To avoid the warning include the appropriate
9993 header to bring the prototypes of built-in functions into scope.
9995 For example, the call to @code{memset} below is diagnosed by the warning
9996 because the function expects a value of type @code{size_t} as its argument
9997 but the type of @code{32} is @code{int}. With @option{-Wextra},
9998 the declaration of the function is diagnosed as well.
10000 extern void* memset ();
10003 memset (d, '\0', 32);
10007 @opindex Wno-builtin-macro-redefined
10008 @opindex Wbuiltin-macro-redefined
10009 @item -Wno-builtin-macro-redefined
10010 Do not warn if certain built-in macros are redefined. This suppresses
10011 warnings for redefinition of @code{__TIMESTAMP__}, @code{__TIME__},
10012 @code{__DATE__}, @code{__FILE__}, and @code{__BASE_FILE__}.
10014 @opindex Wheader-guard
10015 @item -Wheader-guard
10016 Warn if a valid preprocessor header multiple inclusion guard has
10017 a @code{#define} directive right after @code{#ifndef} or @code{#if !defined}
10018 directive for the multiple inclusion guard, which defines a different macro
10019 from the guard macro with a similar name, the actual multiple inclusion guard
10020 macro isn't defined at the corresponding @code{#ifndef} directive at the end
10021 of the header, and the @code{#define} directive defines an object-like macro
10022 with empty definition. In such case, it often is just a misspelled guard
10023 name, either in the @code{#ifndef} or @code{#if !defined} directive or in the
10024 subsequent @code{#define} directive. This warning is enabled
10027 @opindex Wstrict-prototypes
10028 @opindex Wno-strict-prototypes
10029 @item -Wstrict-prototypes @r{(C and Objective-C only)}
10030 Warn if a function is declared or defined without specifying the
10031 argument types. (An old-style function definition is permitted without
10032 a warning if preceded by a declaration that specifies the argument
10035 @opindex Wold-style-declaration
10036 @opindex Wno-old-style-declaration
10037 @item -Wold-style-declaration @r{(C and Objective-C only)}
10038 Warn for obsolescent usages, according to the C Standard, in a
10039 declaration. For example, warn if storage-class specifiers like
10040 @code{static} are not the first things in a declaration. This warning
10041 is also enabled by @option{-Wextra}.
10043 @opindex Wold-style-definition
10044 @opindex Wno-old-style-definition
10045 @item -Wold-style-definition @r{(C and Objective-C only)}
10046 Warn if an old-style function definition is used. A warning is given
10047 even if there is a previous prototype. A definition using @samp{()}
10048 is not considered an old-style definition in C23 mode, because it is
10049 equivalent to @samp{(void)} in that case, but is considered an
10050 old-style definition for older standards.
10052 @opindex Wmissing-parameter-type
10053 @opindex Wno-missing-parameter-type
10054 @item -Wmissing-parameter-type @r{(C and Objective-C only)}
10055 A function parameter is declared without a type specifier in K&R-style
10059 void foo(bar) @{ @}
10062 This warning is also enabled by @option{-Wextra}.
10064 @opindex Wno-declaration-missing-parameter-type
10065 @opindex Wdeclaration-missing-parameter-type
10066 @item -Wno-declaration-missing-parameter-type @r{(C and Objective-C only)}
10067 Do not warn if a function declaration contains a parameter name without
10068 a type. Such function declarations do not provide a function prototype
10069 and prevent most type checking in function calls.
10071 This warning is enabled by default. In C99 and later dialects of C, it
10072 is treated as an error. The error can be downgraded to a warning using
10073 @option{-fpermissive} (along with certain other errors), or for this
10074 error alone, with @option{-Wno-error=declaration-missing-parameter-type}.
10076 This warning is upgraded to an error by @option{-pedantic-errors}.
10078 @opindex Wmissing-prototypes
10079 @opindex Wno-missing-prototypes
10080 @item -Wmissing-prototypes @r{(C and Objective-C only)}
10081 Warn if a global function is defined without a previous prototype
10082 declaration. This warning is issued even if the definition itself
10083 provides a prototype. Use this option to detect global functions
10084 that do not have a matching prototype declaration in a header file.
10085 This option is not valid for C++ because all function declarations
10086 provide prototypes and a non-matching declaration declares an
10087 overload rather than conflict with an earlier declaration.
10088 Use @option{-Wmissing-declarations} to detect missing declarations in C++.
10090 @opindex Wmissing-variable-declarations
10091 @opindex Wno-missing-variable-declarations
10092 @item -Wmissing-variable-declarations @r{(C and Objective-C only)}
10093 Warn if a global variable is defined without a previous declaration.
10094 Use this option to detect global variables that do not have a matching
10095 extern declaration in a header file.
10097 @opindex Wmissing-declarations
10098 @opindex Wno-missing-declarations
10099 @item -Wmissing-declarations
10100 Warn if a global function is defined without a previous declaration.
10101 Do so even if the definition itself provides a prototype.
10102 Use this option to detect global functions that are not declared in
10103 header files. In C, no warnings are issued for functions with previous
10104 non-prototype declarations; use @option{-Wmissing-prototypes} to detect
10105 missing prototypes. In C++, no warnings are issued for function templates,
10106 or for inline functions, or for functions in anonymous namespaces.
10108 @opindex Wmissing-field-initializers
10109 @opindex Wno-missing-field-initializers
10113 @item -Wmissing-field-initializers
10114 Warn if a structure's initializer has some fields missing. For
10115 example, the following code causes such a warning, because
10116 @code{x.h} is implicitly zero:
10119 struct s @{ int f, g, h; @};
10120 struct s x = @{ 3, 4 @};
10123 @c It's unclear if this behavior is desirable. See PR39589 and PR96868.
10124 In C this option does not warn about designated initializers, so the
10125 following modification does not trigger a warning:
10128 struct s @{ int f, g, h; @};
10129 struct s x = @{ .f = 3, .g = 4 @};
10132 In C this option does not warn about the universal zero initializer
10136 struct s @{ int f, g, h; @};
10137 struct s x = @{ 0 @};
10140 Likewise, in C++ this option does not warn about the empty @{ @}
10141 initializer, for example:
10144 struct s @{ int f, g, h; @};
10148 This warning is included in @option{-Wextra}. To get other @option{-Wextra}
10149 warnings without this one, use @option{-Wextra -Wno-missing-field-initializers}.
10151 @opindex Wmissing-requires
10152 @opindex Wno-missing-requires
10153 @item -Wno-missing-requires
10155 By default, the compiler warns about a concept-id appearing as a C++20 simple-requirement:
10158 bool satisfied = requires @{ C<T> @};
10161 Here @samp{satisfied} will be true if @samp{C<T>} is a valid
10162 expression, which it is for all T. Presumably the user meant to write
10165 bool satisfied = requires @{ requires C<T> @};
10168 so @samp{satisfied} is only true if concept @samp{C} is satisfied for
10171 This warning can be disabled with @option{-Wno-missing-requires}.
10173 @opindex Wmissing-template-keyword
10174 @opindex Wno-missing-template-keyword
10175 @item -Wno-missing-template-keyword
10177 The member access tokens ., -> and :: must be followed by the @code{template}
10178 keyword if the parent object is dependent and the member being named is a
10185 x.template DoSomeOtherStuff<X>(); // Good.
10186 x.DoMoreStuff<X>(); // Warning, x is dependent.
10190 In rare cases it is possible to get false positives. To silence this, wrap
10191 the expression in parentheses. For example, the following is treated as a
10192 template, even where m and N are integers:
10195 void NotATemplate (my_class t)
10199 bool test = t.m < N > (0); // Treated as a template.
10200 test = (t.m < N) > (0); // Same meaning, but not treated as a template.
10204 This warning can be disabled with @option{-Wno-missing-template-keyword}.
10206 @opindex Wno-multichar
10207 @opindex Wmultichar
10208 @item -Wno-multichar
10209 Do not warn if a multicharacter constant (@samp{'FOOF'}) is used.
10210 Usually they indicate a typo in the user's code, as they have
10211 implementation-defined values, and should not be used in portable code.
10213 @opindex Wnormalized=
10214 @opindex Wnormalized
10215 @opindex Wno-normalized
10218 @cindex character set, input normalization
10219 @item -Wnormalized=@r{[}none@r{|}id@r{|}nfc@r{|}nfkc@r{]}
10220 In ISO C and ISO C++, two identifiers are different if they are
10221 different sequences of characters. However, sometimes when characters
10222 outside the basic ASCII character set are used, you can have two
10223 different character sequences that look the same. To avoid confusion,
10224 the ISO 10646 standard sets out some @dfn{normalization rules} which
10225 when applied ensure that two sequences that look the same are turned into
10226 the same sequence. GCC can warn you if you are using identifiers that
10227 have not been normalized; this option controls that warning.
10229 There are four levels of warning supported by GCC@. The default is
10230 @option{-Wnormalized=nfc}, which warns about any identifier that is
10231 not in the ISO 10646 ``C'' normalized form, @dfn{NFC}. NFC is the
10232 recommended form for most uses. It is equivalent to
10233 @option{-Wnormalized}.
10235 Unfortunately, there are some characters allowed in identifiers by
10236 ISO C and ISO C++ that, when turned into NFC, are not allowed in
10237 identifiers. That is, there's no way to use these symbols in portable
10238 ISO C or C++ and have all your identifiers in NFC@.
10239 @option{-Wnormalized=id} suppresses the warning for these characters.
10240 It is hoped that future versions of the standards involved will correct
10241 this, which is why this option is not the default.
10243 You can switch the warning off for all characters by writing
10244 @option{-Wnormalized=none} or @option{-Wno-normalized}. You should
10245 only do this if you are using some other normalization scheme (like
10246 ``D''), because otherwise you can easily create bugs that are
10247 literally impossible to see.
10249 Some characters in ISO 10646 have distinct meanings but look identical
10250 in some fonts or display methodologies, especially once formatting has
10251 been applied. For instance @code{\u207F}, ``SUPERSCRIPT LATIN SMALL
10252 LETTER N'', displays just like a regular @code{n} that has been
10253 placed in a superscript. ISO 10646 defines the @dfn{NFKC}
10254 normalization scheme to convert all these into a standard form as
10255 well, and GCC warns if your code is not in NFKC if you use
10256 @option{-Wnormalized=nfkc}. This warning is comparable to warning
10257 about every identifier that contains the letter O because it might be
10258 confused with the digit 0, and so is not the default, but may be
10259 useful as a local coding convention if the programming environment
10260 cannot be fixed to display these characters distinctly.
10262 @opindex Wno-attribute-warning
10263 @opindex Wattribute-warning
10264 @item -Wno-attribute-warning
10265 Do not warn about usage of functions (@pxref{Function Attributes})
10266 declared with @code{warning} attribute. By default, this warning is
10267 enabled. @option{-Wno-attribute-warning} can be used to disable the
10268 warning or @option{-Wno-error=attribute-warning} can be used to
10269 disable the error when compiled with @option{-Werror} flag.
10271 @opindex Wno-deprecated
10272 @opindex Wdeprecated
10273 @item -Wno-deprecated
10274 Do not warn about usage of deprecated features. @xref{Deprecated Features}.
10276 In C++, explicitly specifying @option{-Wdeprecated} also enables
10277 warnings about some features that are deprecated in later language
10278 standards, specifically @option{-Wcomma-subscript},
10279 @option{-Wvolatile}, @option{-Wdeprecated-enum-float-conversion},
10280 @option{-Wdeprecated-enum-enum-conversion}, and
10281 @option{-Wdeprecated-literal-operator}.
10283 @opindex Wno-deprecated-declarations
10284 @opindex Wdeprecated-declarations
10285 @item -Wno-deprecated-declarations
10286 Do not warn about uses of functions (@pxref{Function Attributes}),
10287 variables (@pxref{Variable Attributes}), and types (@pxref{Type
10288 Attributes}) marked as deprecated by using the @code{deprecated}
10291 @opindex Wno-overflow
10293 @item -Wno-overflow
10294 Do not warn about compile-time overflow in constant expressions.
10299 Warn about One Definition Rule violations during link-time optimization.
10300 Enabled by default.
10302 @opindex Wopenacc-parallelism
10303 @opindex Wno-openacc-parallelism
10304 @cindex OpenACC accelerator programming
10305 @item -Wopenacc-parallelism
10306 Warn about potentially suboptimal choices related to OpenACC parallelism.
10309 @opindex Wno-openmp
10311 Warn about suspicious OpenMP code.
10313 @opindex Wopenmp-simd
10314 @opindex Wno-openmp-simd
10315 @item -Wopenmp-simd
10316 Warn if the vectorizer cost model overrides the OpenMP
10317 simd directive set by user. The @option{-fsimd-cost-model=unlimited}
10318 option can be used to relax the cost model.
10320 @opindex Woverride-init
10321 @opindex Wno-override-init
10325 @item -Woverride-init @r{(C and Objective-C only)}
10326 Warn if an initialized field without side effects is overridden when
10327 using designated initializers (@pxref{Designated Inits, , Designated
10330 This warning is included in @option{-Wextra}. To get other
10331 @option{-Wextra} warnings without this one, use @option{-Wextra
10332 -Wno-override-init}.
10334 @opindex Woverride-init-side-effects
10335 @opindex Wno-override-init-side-effects
10336 @item -Wno-override-init-side-effects @r{(C and Objective-C only)}
10337 Do not warn if an initialized field with side effects is overridden when
10338 using designated initializers (@pxref{Designated Inits, , Designated
10339 Initializers}). This warning is enabled by default.
10342 @opindex Wno-packed
10344 Warn if a structure is given the packed attribute, but the packed
10345 attribute has no effect on the layout or size of the structure.
10346 Such structures may be mis-aligned for little benefit. For
10347 instance, in this code, the variable @code{f.x} in @code{struct bar}
10348 is misaligned even though @code{struct bar} does not itself
10349 have the packed attribute:
10356 @} __attribute__((packed));
10364 @opindex Wpacked-bitfield-compat
10365 @opindex Wno-packed-bitfield-compat
10366 @item -Wnopacked-bitfield-compat
10367 The 4.1, 4.2 and 4.3 series of GCC ignore the @code{packed} attribute
10368 on bit-fields of type @code{char}. This was fixed in GCC 4.4 but
10369 the change can lead to differences in the structure layout. GCC
10370 informs you when the offset of such a field has changed in GCC 4.4.
10371 For example there is no longer a 4-bit padding between field @code{a}
10372 and @code{b} in this structure:
10379 @} __attribute__ ((packed));
10382 This warning is enabled by default. Use
10383 @option{-Wno-packed-bitfield-compat} to disable this warning.
10385 @opindex Wpacked-not-aligned
10386 @opindex Wno-packed-not-aligned
10387 @item -Wpacked-not-aligned @r{(C, C++, Objective-C and Objective-C++ only)}
10388 Warn if a structure field with explicitly specified alignment in a
10389 packed struct or union is misaligned. For example, a warning will
10390 be issued on @code{struct S}, like, @code{warning: alignment 1 of
10391 'struct S' is less than 8}, in this code:
10395 struct __attribute__ ((aligned (8))) S8 @{ char a[8]; @};
10396 struct __attribute__ ((packed)) S @{
10402 This warning is enabled by @option{-Wall}.
10405 @opindex Wno-padded
10407 Warn if padding is included in a structure, either to align an element
10408 of the structure or to align the whole structure. Sometimes when this
10409 happens it is possible to rearrange the fields of the structure to
10410 reduce the padding and so make the structure smaller.
10412 @opindex Wredundant-decls
10413 @opindex Wno-redundant-decls
10414 @item -Wredundant-decls
10415 Warn if anything is declared more than once in the same scope, even in
10416 cases where multiple declaration is valid and changes nothing.
10419 @opindex Wno-restrict
10421 Warn when an object referenced by a @code{restrict}-qualified parameter
10422 (or, in C++, a @code{__restrict}-qualified parameter) is aliased by another
10423 argument, or when copies between such objects overlap. For example,
10424 the call to the @code{strcpy} function below attempts to truncate the string
10425 by replacing its initial characters with the last four. However, because
10426 the call writes the terminating NUL into @code{a[4]}, the copies overlap and
10427 the call is diagnosed.
10432 char a[] = "abcd1234";
10437 The @option{-Wrestrict} option detects some instances of simple overlap
10438 even without optimization but works best at @option{-O2} and above. It
10439 is included in @option{-Wall}.
10441 @opindex Wnested-externs
10442 @opindex Wno-nested-externs
10443 @item -Wnested-externs @r{(C and Objective-C only)}
10444 Warn if an @code{extern} declaration is encountered within a function.
10447 @opindex Wno-inline
10449 Warn if a function that is declared as inline cannot be inlined.
10450 Even with this option, the compiler does not warn about failures to
10451 inline functions declared in system headers.
10453 The compiler uses a variety of heuristics to determine whether or not
10454 to inline a function. For example, the compiler takes into account
10455 the size of the function being inlined and the amount of inlining
10456 that has already been done in the current function. Therefore,
10457 seemingly insignificant changes in the source program can cause the
10458 warnings produced by @option{-Winline} to appear or disappear.
10460 @opindex Winterference-size
10461 @item -Winterference-size
10462 Warn about use of C++17 @code{std::hardware_destructive_interference_size}
10463 without specifying its value with @option{--param destructive-interference-size}.
10464 Also warn about questionable values for that option.
10466 This variable is intended to be used for controlling class layout, to
10467 avoid false sharing in concurrent code:
10470 struct independent_fields @{
10471 alignas(std::hardware_destructive_interference_size)
10472 std::atomic<int> one;
10473 alignas(std::hardware_destructive_interference_size)
10474 std::atomic<int> two;
10478 Here @samp{one} and @samp{two} are intended to be far enough apart
10479 that stores to one won't require accesses to the other to reload the
10482 By default, @option{--param destructive-interference-size} and
10483 @option{--param constructive-interference-size} are set based on the
10484 current @option{-mtune} option, typically to the L1 cache line size
10485 for the particular target CPU, sometimes to a range if tuning for a
10486 generic target. So all translation units that depend on ABI
10487 compatibility for the use of these variables must be compiled with
10488 the same @option{-mtune} (or @option{-mcpu}).
10490 If ABI stability is important, such as if the use is in a header for a
10491 library, you should probably not use the hardware interference size
10492 variables at all. Alternatively, you can force a particular value
10493 with @option{--param}.
10495 If you are confident that your use of the variable does not affect ABI
10496 outside a single build of your project, you can turn off the warning
10497 with @option{-Wno-interference-size}.
10499 @opindex Wint-in-bool-context
10500 @opindex Wno-int-in-bool-context
10501 @item -Wint-in-bool-context
10502 Warn for suspicious use of integer values where boolean values are expected,
10503 such as conditional expressions (?:) using non-boolean integer constants in
10504 boolean context, like @code{if (a <= b ? 2 : 3)}. Or left shifting of signed
10505 integers in boolean context, like @code{for (a = 0; 1 << a; a++);}. Likewise
10506 for all kinds of multiplications regardless of the data type.
10507 This warning is enabled by @option{-Wall}.
10509 @opindex Wno-int-to-pointer-cast
10510 @opindex Wint-to-pointer-cast
10511 @item -Wno-int-to-pointer-cast
10512 Suppress warnings from casts to pointer type of an integer of a
10513 different size. In C++, casting to a pointer type of smaller size is
10514 an error. @option{Wint-to-pointer-cast} is enabled by default.
10517 @opindex Wno-pointer-to-int-cast
10518 @opindex Wpointer-to-int-cast
10519 @item -Wno-pointer-to-int-cast @r{(C and Objective-C only)}
10520 Suppress warnings from casts from a pointer to an integer type of a
10523 @opindex Winvalid-pch
10524 @opindex Wno-invalid-pch
10525 @item -Winvalid-pch
10526 Warn if a precompiled header (@pxref{Precompiled Headers}) is found in
10527 the search path but cannot be used.
10529 @opindex Winvalid-utf8
10530 @opindex Wno-invalid-utf8
10531 @item -Winvalid-utf8
10532 Warn if an invalid UTF-8 character is found.
10533 This warning is on by default for C++23 if @option{-finput-charset=UTF-8}
10534 is used and turned into error with @option{-pedantic-errors}.
10537 @opindex Wno-unicode
10539 Don't diagnose invalid forms of delimited or named escape sequences which are
10540 treated as separate tokens. @option{Wunicode} is enabled by default.
10542 @opindex Wlong-long
10543 @opindex Wno-long-long
10545 Warn if @code{long long} type is used. This is enabled by either
10546 @option{-Wpedantic} or @option{-Wtraditional} in ISO C90 and C++98
10547 modes. To inhibit the warning messages, use @option{-Wno-long-long}.
10549 This warning is upgraded to an error by @option{-pedantic-errors}.
10551 @opindex Wvariadic-macros
10552 @opindex Wno-variadic-macros
10553 @item -Wvariadic-macros
10554 Warn if variadic macros are used in ISO C90 mode, or if the GNU
10555 alternate syntax is used in ISO C99 mode. This is enabled by either
10556 @option{-Wpedantic} or @option{-Wtraditional}. To inhibit the warning
10557 messages, use @option{-Wno-variadic-macros}.
10560 @opindex Wno-varargs
10562 Do not warn upon questionable usage of the macros used to handle variable
10563 arguments like @code{va_start}. These warnings are enabled by default.
10565 @opindex Wvector-operation-performance
10566 @opindex Wno-vector-operation-performance
10567 @item -Wvector-operation-performance
10568 Warn if vector operation is not implemented via SIMD capabilities of the
10569 architecture. Mainly useful for the performance tuning.
10570 Vector operation can be implemented @code{piecewise}, which means that the
10571 scalar operation is performed on every vector element;
10572 @code{in parallel}, which means that the vector operation is implemented
10573 using scalars of wider type, which normally is more performance efficient;
10574 and @code{as a single scalar}, which means that vector fits into a
10580 Warn if a variable-length array is used in the code.
10581 @option{-Wno-vla} prevents the @option{-Wpedantic} warning of
10582 the variable-length array.
10584 This warning is upgraded to an error by @option{-pedantic-errors}.
10586 @opindex Wvla-larger-than=
10587 @opindex Wno-vla-larger-than
10588 @item -Wvla-larger-than=@var{byte-size}
10589 If this option is used, the compiler warns for declarations of
10590 variable-length arrays whose size is either unbounded, or bounded
10591 by an argument that allows the array size to exceed @var{byte-size}
10592 bytes. This is similar to how @option{-Walloca-larger-than=}@var{byte-size}
10593 works, but with variable-length arrays.
10595 Note that GCC may optimize small variable-length arrays of a known
10596 value into plain arrays, so this warning may not get triggered for
10599 @option{-Wvla-larger-than=}@samp{PTRDIFF_MAX} is enabled by default but
10600 is typically only effective when @option{-ftree-vrp} is active (default
10601 for @option{-O2} and above).
10603 See also @option{-Walloca-larger-than=@var{byte-size}}.
10605 @opindex Wno-vla-larger-than
10606 @item -Wno-vla-larger-than
10607 Disable @option{-Wvla-larger-than=} warnings. The option is equivalent
10608 to @option{-Wvla-larger-than=}@samp{SIZE_MAX} or larger.
10610 @opindex Wno-vla-parameter
10611 @item -Wvla-parameter
10612 Warn about redeclarations of functions involving arguments of Variable
10613 Length Array types of inconsistent kinds or forms, and enable the detection
10614 of out-of-bounds accesses to such parameters by warnings such as
10615 @option{-Warray-bounds}.
10617 If the first function declaration uses the VLA form the bound specified
10618 in the array is assumed to be the minimum number of elements expected to
10619 be provided in calls to the function and the maximum number of elements
10620 accessed by it. Failing to provide arguments of sufficient size or
10621 accessing more than the maximum number of elements may be diagnosed.
10623 For example, the warning triggers for the following redeclarations because
10624 the first one allows an array of any size to be passed to @code{f} while
10625 the second one specifies that the array argument must have at least @code{n}
10626 elements. In addition, calling @code{f} with the associated VLA bound
10627 parameter in excess of the actual VLA bound triggers a warning as well.
10630 void f (int n, int[n]);
10631 // warning: argument 2 previously declared as a VLA
10632 void f (int, int[]);
10639 // warning: access to a by f may be out of bounds
10646 @option{-Wvla-parameter} is included in @option{-Wall}. The
10647 @option{-Warray-parameter} option triggers warnings for similar problems
10648 involving ordinary array arguments.
10650 @opindex Wvolatile-register-var
10651 @opindex Wno-volatile-register-var
10652 @item -Wvolatile-register-var
10653 Warn if a register variable is declared volatile. The volatile
10654 modifier does not inhibit all optimizations that may eliminate reads
10655 and/or writes to register variables. This warning is enabled by
10658 @opindex Wxor-used-as-pow
10659 @opindex Wno-xor-used-as-pow
10660 @item -Wno-xor-used-as-pow @r{(C, C++, Objective-C and Objective-C++ only)}
10661 Disable warnings about uses of @code{^}, the exclusive or operator,
10662 where it appears the code meant exponentiation.
10663 Specifically, the warning occurs when the
10664 left-hand side is the decimal constant 2 or 10 and the right-hand side
10665 is also a decimal constant.
10667 In C and C++, @code{^} means exclusive or, whereas in some other languages
10668 (e.g. TeX and some versions of BASIC) it means exponentiation.
10670 This warning can be silenced by converting one of the operands to
10671 hexadecimal as well as by compiling with @option{-Wno-xor-used-as-pow}.
10673 @opindex Wdisabled-optimization
10674 @opindex Wno-disabled-optimization
10675 @item -Wdisabled-optimization
10676 Warn if a requested optimization pass is disabled. This warning does
10677 not generally indicate that there is anything wrong with your code; it
10678 merely indicates that GCC's optimizers are unable to handle the code
10679 effectively. Often, the problem is that your code is too big or too
10680 complex; GCC refuses to optimize programs when the optimization
10681 itself is likely to take inordinate amounts of time.
10683 @opindex Wpointer-sign
10684 @opindex Wno-pointer-sign
10685 @item -Wpointer-sign @r{(C and Objective-C only)}
10686 Warn for pointer argument passing or assignment with different signedness.
10687 This option is only supported for C and Objective-C@. It is implied by
10688 @option{-Wall} and by @option{-Wpedantic}, which can be disabled with
10689 @option{-Wno-pointer-sign}.
10691 This warning is upgraded to an error by @option{-pedantic-errors}.
10693 @opindex Wstack-protector
10694 @opindex Wno-stack-protector
10695 @item -Wstack-protector
10696 This option is only active when @option{-fstack-protector} is active. It
10697 warns about functions that are not protected against stack smashing.
10699 @opindex Woverlength-strings
10700 @opindex Wno-overlength-strings
10701 @item -Woverlength-strings
10702 Warn about string constants that are longer than the ``minimum
10703 maximum'' length specified in the C standard. Modern compilers
10704 generally allow string constants that are much longer than the
10705 standard's minimum limit, but very portable programs should avoid
10706 using longer strings.
10708 The limit applies @emph{after} string constant concatenation, and does
10709 not count the trailing NUL@. In C90, the limit was 509 characters; in
10710 C99, it was raised to 4095. C++98 does not specify a normative
10711 minimum maximum, so we do not diagnose overlength strings in C++@.
10713 This option is implied by @option{-Wpedantic}, and can be disabled with
10714 @option{-Wno-overlength-strings}.
10716 @opindex Wunsuffixed-float-constants
10717 @opindex Wno-unsuffixed-float-constants
10718 @item -Wunsuffixed-float-constants @r{(C and Objective-C only)}
10720 Issue a warning for any floating constant that does not have
10721 a suffix. When used together with @option{-Wsystem-headers} it
10722 warns about such constants in system header files. This can be useful
10723 when preparing code to use with the @code{FLOAT_CONST_DECIMAL64} pragma
10724 from the decimal floating-point extension to C99.
10726 @opindex Wlto-type-mismatch
10727 @opindex Wno-lto-type-mismatch
10728 @item -Wno-lto-type-mismatch
10730 During the link-time optimization, do not warn about type mismatches in
10731 global declarations from different compilation units.
10732 Requires @option{-flto} to be enabled. Enabled by default.
10734 @opindex Wdesignated-init
10735 @opindex Wno-designated-init
10736 @item -Wno-designated-init @r{(C and Objective-C only)}
10737 Suppress warnings when a positional initializer is used to initialize
10738 a structure that has been marked with the @code{designated_init}
10743 @node Static Analyzer Options
10744 @section Options That Control Static Analysis
10749 @opindex fno-analyzer
10751 This option enables an static analysis of program flow which looks
10752 for ``interesting'' interprocedural paths through the
10753 code, and issues warnings for problems found on them.
10755 This analysis is much more expensive than other GCC warnings.
10757 In technical terms, it performs coverage-guided symbolic execution of
10758 the code being compiled. It is neither sound nor complete: it can
10759 have false positives and false negatives. It is a bug-finding tool,
10760 rather than a tool for proving program correctness.
10762 The analyzer is only suitable for use on C code in this release.
10764 Enabling this option effectively enables the following warnings:
10767 -Wanalyzer-allocation-size
10768 -Wanalyzer-deref-before-check
10769 -Wanalyzer-double-fclose
10770 -Wanalyzer-double-free
10771 -Wanalyzer-exposure-through-output-file
10772 -Wanalyzer-exposure-through-uninit-copy
10773 -Wanalyzer-fd-access-mode-mismatch
10774 -Wanalyzer-fd-double-close
10776 -Wanalyzer-fd-phase-mismatch
10777 -Wanalyzer-fd-type-mismatch
10778 -Wanalyzer-fd-use-after-close
10779 -Wanalyzer-fd-use-without-check
10780 -Wanalyzer-file-leak
10781 -Wanalyzer-free-of-non-heap
10782 -Wanalyzer-imprecise-fp-arithmetic
10783 -Wanalyzer-infinite-loop
10784 -Wanalyzer-infinite-recursion
10785 -Wanalyzer-jump-through-null
10786 -Wanalyzer-malloc-leak
10787 -Wanalyzer-mismatching-deallocation
10788 -Wanalyzer-null-argument
10789 -Wanalyzer-null-dereference
10790 -Wanalyzer-out-of-bounds
10791 -Wanalyzer-overlapping-buffers
10792 -Wanalyzer-possible-null-argument
10793 -Wanalyzer-possible-null-dereference
10794 -Wanalyzer-putenv-of-auto-var
10795 -Wanalyzer-shift-count-negative
10796 -Wanalyzer-shift-count-overflow
10797 -Wanalyzer-stale-setjmp-buffer
10798 -Wanalyzer-tainted-allocation-size
10799 -Wanalyzer-tainted-array-index
10800 -Wanalyzer-tainted-assertion
10801 -Wanalyzer-tainted-divisor
10802 -Wanalyzer-tainted-offset
10803 -Wanalyzer-tainted-size
10804 -Wanalyzer-undefined-behavior-ptrdiff
10805 -Wanalyzer-undefined-behavior-strtok
10806 -Wanalyzer-unsafe-call-within-signal-handler
10807 -Wanalyzer-use-after-free
10808 -Wanalyzer-use-of-pointer-in-stale-stack-frame
10809 -Wanalyzer-use-of-uninitialized-value
10810 -Wanalyzer-va-arg-type-mismatch
10811 -Wanalyzer-va-list-exhausted
10812 -Wanalyzer-va-list-leak
10813 -Wanalyzer-va-list-use-after-va-end
10814 -Wanalyzer-write-to-const
10815 -Wanalyzer-write-to-string-literal
10818 This option is only available if GCC was configured with analyzer
10821 @opindex Wanalyzer-symbol-too-complex
10822 @opindex Wno-analyzer-symbol-too-complex
10823 @item -Wanalyzer-symbol-too-complex
10824 If @option{-fanalyzer} is enabled, the analyzer uses various heuristics
10825 to attempt to track the state of memory, but these can be defeated by
10826 sufficiently complicated code.
10828 By default, the analysis silently stops tracking values of expressions
10829 if they exceed the threshold defined by
10830 @option{--param analyzer-max-svalue-depth=@var{value}}, and falls back
10831 to an imprecise representation for such expressions.
10832 The @option{-Wanalyzer-symbol-too-complex} option warns if this occurs.
10834 @opindex Wanalyzer-too-complex
10835 @opindex Wno-analyzer-too-complex
10836 @item -Wanalyzer-too-complex
10837 If @option{-fanalyzer} is enabled, the analyzer uses various heuristics
10838 to attempt to explore the control flow and data flow in the program,
10839 but these can be defeated by sufficiently complicated code.
10841 By default, the analysis silently stops if the code is too
10842 complicated for the analyzer to fully explore and it reaches an internal
10843 limit. The @option{-Wanalyzer-too-complex} option warns if this occurs.
10845 @opindex Wanalyzer-allocation-size
10846 @opindex Wno-analyzer-allocation-size
10847 @item -Wno-analyzer-allocation-size
10848 This warning requires @option{-fanalyzer}, which enables it;
10849 to disable it, use @option{-Wno-analyzer-allocation-size}.
10851 This diagnostic warns for paths through the code in which a pointer to
10852 a buffer is assigned to point at a buffer with a size that is not a
10853 multiple of @code{sizeof (*pointer)}.
10855 See @uref{https://cwe.mitre.org/data/definitions/131.html, CWE-131: Incorrect Calculation of Buffer Size}.
10857 @opindex Wanalyzer-deref-before-check
10858 @opindex Wno-analyzer-deref-before-check
10859 @item -Wno-analyzer-deref-before-check
10860 This warning requires @option{-fanalyzer}, which enables it; use
10861 @option{-Wno-analyzer-deref-before-check}
10864 This diagnostic warns for paths through the code in which a pointer
10865 is checked for @code{NULL} *after* it has already been
10866 dereferenced, suggesting that the pointer could have been NULL.
10867 Such cases suggest that the check for NULL is either redundant,
10868 or that it needs to be moved to before the pointer is dereferenced.
10870 This diagnostic also considers values passed to a function argument
10871 marked with @code{__attribute__((nonnull))} as requiring a non-NULL
10872 value, and thus will complain if such values are checked for @code{NULL}
10873 after returning from such a function call.
10875 This diagnostic is unlikely to be reported when any level of optimization
10876 is enabled, as GCC's optimization logic will typically consider such
10877 checks for NULL as being redundant, and optimize them away before the
10878 analyzer "sees" them. Hence optimization should be disabled when
10879 attempting to trigger this diagnostic.
10881 @opindex Wanalyzer-double-fclose
10882 @opindex Wno-analyzer-double-fclose
10883 @item -Wno-analyzer-double-fclose
10884 This warning requires @option{-fanalyzer}, which enables it; use
10885 @option{-Wno-analyzer-double-fclose} to disable it.
10887 This diagnostic warns for paths through the code in which a @code{FILE *}
10888 can have @code{fclose} called on it more than once.
10890 See @uref{https://cwe.mitre.org/data/definitions/1341.html, CWE-1341: Multiple Releases of Same Resource or Handle}.
10892 @opindex Wanalyzer-double-free
10893 @opindex Wno-analyzer-double-free
10894 @item -Wno-analyzer-double-free
10895 This warning requires @option{-fanalyzer}, which enables it; use
10896 @option{-Wno-analyzer-double-free} to disable it.
10898 This diagnostic warns for paths through the code in which a pointer
10899 can have a deallocator called on it more than once, either @code{free},
10900 or a deallocator referenced by attribute @code{malloc}.
10902 See @uref{https://cwe.mitre.org/data/definitions/415.html, CWE-415: Double Free}.
10904 @opindex Wanalyzer-exposure-through-output-file
10905 @opindex Wno-analyzer-exposure-through-output-file
10906 @item -Wno-analyzer-exposure-through-output-file
10907 This warning requires @option{-fanalyzer}, which enables it; use
10908 @option{-Wno-analyzer-exposure-through-output-file}
10911 This diagnostic warns for paths through the code in which a
10912 security-sensitive value is written to an output file
10913 (such as writing a password to a log file).
10915 See @uref{https://cwe.mitre.org/data/definitions/532.html, CWE-532: Information Exposure Through Log Files}.
10917 @opindex Wanalyzer-exposure-through-uninit-copy
10918 @opindex Wno-analyzer-exposure-through-uninit-copy
10919 @item -Wanalyzer-exposure-through-uninit-copy
10920 This warning requires both @option{-fanalyzer} and the use of a plugin
10921 to specify a function that copies across a ``trust boundary''. Use
10922 @option{-Wno-analyzer-exposure-through-uninit-copy} to disable it.
10924 This diagnostic warns for ``infoleaks'' - paths through the code in which
10925 uninitialized values are copied across a security boundary
10926 (such as code within an OS kernel that copies a partially-initialized
10927 struct on the stack to user space).
10929 See @uref{https://cwe.mitre.org/data/definitions/200.html, CWE-200: Exposure of Sensitive Information to an Unauthorized Actor}.
10931 @opindex Wanalyzer-fd-access-mode-mismatch
10932 @opindex Wno-analyzer-fd-access-mode-mismatch
10933 @item -Wno-analyzer-fd-access-mode-mismatch
10934 This warning requires @option{-fanalyzer}, which enables it; use
10935 @option{-Wno-analyzer-fd-access-mode-mismatch}
10938 This diagnostic warns for paths through code in which a
10939 @code{read} on a write-only file descriptor is attempted, or vice versa.
10941 This diagnostic also warns for code paths in a which a function with attribute
10942 @code{fd_arg_read (N)} is called with a file descriptor opened with
10943 @code{O_WRONLY} at referenced argument @code{N} or a function with attribute
10944 @code{fd_arg_write (N)} is called with a file descriptor opened with
10945 @code{O_RDONLY} at referenced argument @var{N}.
10947 @opindex Wanalyzer-fd-double-close
10948 @opindex Wno-analyzer-fd-double-close
10949 @item -Wno-analyzer-fd-double-close
10950 This warning requires @option{-fanalyzer}, which enables it; use
10951 @option{-Wno-analyzer-fd-double-close}
10954 This diagnostic warns for paths through code in which a
10955 file descriptor can be closed more than once.
10957 See @uref{https://cwe.mitre.org/data/definitions/1341.html, CWE-1341: Multiple Releases of Same Resource or Handle}.
10959 @opindex Wanalyzer-fd-leak
10960 @opindex Wno-analyzer-fd-leak
10961 @item -Wno-analyzer-fd-leak
10962 This warning requires @option{-fanalyzer}, which enables it; use
10963 @option{-Wno-analyzer-fd-leak}
10966 This diagnostic warns for paths through code in which an
10967 open file descriptor is leaked.
10969 See @uref{https://cwe.mitre.org/data/definitions/775.html, CWE-775: Missing Release of File Descriptor or Handle after Effective Lifetime}.
10971 @opindex Wanalyzer-fd-phase-mismatch
10972 @opindex Wno-analyzer-fd-phase-mismatch
10973 @item -Wno-analyzer-fd-phase-mismatch
10974 This warning requires @option{-fanalyzer}, which enables it; use
10975 @option{-Wno-analyzer-fd-phase-mismatch}
10978 This diagnostic warns for paths through code in which an operation is
10979 attempted in the wrong phase of a file descriptor's lifetime.
10980 For example, it will warn on attempts to call @code{accept} on a stream
10981 socket that has not yet had @code{listen} successfully called on it.
10983 See @uref{https://cwe.mitre.org/data/definitions/666.html, CWE-666: Operation on Resource in Wrong Phase of Lifetime}.
10985 @opindex Wanalyzer-fd-type-mismatch
10986 @opindex Wno-analyzer-fd-type-mismatch
10987 @item -Wno-analyzer-fd-type-mismatch
10988 This warning requires @option{-fanalyzer}, which enables it; use
10989 @option{-Wno-analyzer-fd-type-mismatch}
10992 This diagnostic warns for paths through code in which an
10993 operation is attempted on the wrong type of file descriptor.
10994 For example, it will warn on attempts to use socket operations
10995 on a file descriptor obtained via @code{open}, or when attempting
10996 to use a stream socket operation on a datagram socket.
10998 @opindex Wanalyzer-fd-use-after-close
10999 @opindex Wno-analyzer-fd-use-after-close
11000 @item -Wno-analyzer-fd-use-after-close
11001 This warning requires @option{-fanalyzer}, which enables it; use
11002 @option{-Wno-analyzer-fd-use-after-close}
11005 This diagnostic warns for paths through code in which a
11006 read or write is called on a closed file descriptor.
11008 This diagnostic also warns for paths through code in which
11009 a function with attribute @code{fd_arg (N)} or @code{fd_arg_read (N)}
11010 or @code{fd_arg_write (N)} is called with a closed file descriptor at
11011 referenced argument @code{N}.
11013 @opindex Wanalyzer-fd-use-without-check
11014 @opindex Wno-analyzer-fd-use-without-check
11015 @item -Wno-analyzer-fd-use-without-check
11016 This warning requires @option{-fanalyzer}, which enables it; use
11017 @option{-Wno-analyzer-fd-use-without-check}
11020 This diagnostic warns for paths through code in which a
11021 file descriptor is used without being checked for validity.
11023 This diagnostic also warns for paths through code in which
11024 a function with attribute @code{fd_arg (N)} or @code{fd_arg_read (N)}
11025 or @code{fd_arg_write (N)} is called with a file descriptor, at referenced
11026 argument @code{N}, without being checked for validity.
11028 @opindex Wanalyzer-file-leak
11029 @opindex Wno-analyzer-file-leak
11030 @item -Wno-analyzer-file-leak
11031 This warning requires @option{-fanalyzer}, which enables it; use
11032 @option{-Wno-analyzer-file-leak}
11035 This diagnostic warns for paths through the code in which a
11036 @code{<stdio.h>} @code{FILE *} stream object is leaked.
11038 See @uref{https://cwe.mitre.org/data/definitions/775.html, CWE-775: Missing Release of File Descriptor or Handle after Effective Lifetime}.
11040 @opindex Wanalyzer-free-of-non-heap
11041 @opindex Wno-analyzer-free-of-non-heap
11042 @item -Wno-analyzer-free-of-non-heap
11043 This warning requires @option{-fanalyzer}, which enables it; use
11044 @option{-Wno-analyzer-free-of-non-heap}
11047 This diagnostic warns for paths through the code in which @code{free}
11048 is called on a non-heap pointer (e.g. an on-stack buffer, or a global).
11050 See @uref{https://cwe.mitre.org/data/definitions/590.html, CWE-590: Free of Memory not on the Heap}.
11052 @opindex Wanalyzer-imprecise-fp-arithmetic
11053 @opindex Wno-analyzer-imprecise-fp-arithmetic
11054 @item -Wno-analyzer-imprecise-fp-arithmetic
11055 This warning requires @option{-fanalyzer}, which enables it; use
11056 @option{-Wno-analyzer-imprecise-fp-arithmetic}
11059 This diagnostic warns for paths through the code in which floating-point
11060 arithmetic is used in locations where precise computation is needed. This
11061 diagnostic only warns on use of floating-point operands inside the
11062 calculation of an allocation size at the moment.
11064 @opindex Wanalyzer-infinite-loop
11065 @opindex Wno-analyzer-infinite-loop
11066 @item -Wno-analyzer-infinite-loop
11067 This warning requires @option{-fanalyzer}, which enables it; use
11068 @option{-Wno-analyzer-infinite-loop} to disable it.
11070 This diagnostics warns for paths through the code which appear to
11071 lead to an infinite loop.
11073 Specifically, the analyzer will issue this warning when it "sees" a loop
11077 no externally-visible work could be being done within the loop
11079 there is no way to escape from the loop
11081 the analyzer is sufficiently confident about the program state
11082 throughout the loop to know that the above are true
11085 One way for this warning to be emitted is when there is an execution
11086 path through a loop for which taking the path on one iteration implies
11087 that the same path will be taken on all subsequent iterations.
11089 For example, consider:
11094 char opcode = *cpu_state.pc;
11098 handle_opcode_foo (&cpu_state);
11101 handle_opcode_bar (&cpu_state);
11107 The analyzer will complain for the above case because if @code{opcode}
11108 ever matches none of the cases, the @code{switch} will follow the
11109 implicit @code{default} case, making the body of the loop be a ``no-op''
11110 with @code{cpu_state.pc} unchanged, and thus using the same value of
11111 @code{opcode} on all subseqent iterations, leading to an infinite loop.
11113 See @uref{https://cwe.mitre.org/data/definitions/835.html, CWE-835: Loop with Unreachable Exit Condition ('Infinite Loop')}.
11115 @opindex Wanalyzer-infinite-recursion
11116 @opindex Wno-analyzer-infinite-recursion
11117 @item -Wno-analyzer-infinite-recursion
11118 This warning requires @option{-fanalyzer}, which enables it; use
11119 @option{-Wno-analyzer-infinite-recursion} to disable it.
11121 This diagnostics warns for paths through the code which appear to
11122 lead to infinite recursion.
11124 Specifically, when the analyzer "sees" a recursive call, it will compare
11125 the state of memory at the entry to the new frame with that at the entry
11126 to the previous frame of that function on the stack. The warning is
11127 issued if nothing in memory appears to be changing; any changes observed
11128 to parameters or globals are assumed to lead to termination of the
11129 recursion and thus suppress the warning.
11131 This diagnostic is likely to miss cases of infinite recursion that
11132 are convered to iteration by the optimizer before the analyzer "sees"
11133 them. Hence optimization should be disabled when attempting to trigger
11136 Compare with @option{-Winfinite-recursion}, which provides a similar
11137 diagnostic, but is implemented in a different way.
11139 See @uref{https://cwe.mitre.org/data/definitions/674.html, CWE-674: Uncontrolled Recursion}.
11141 @opindex Wanalyzer-jump-through-null
11142 @opindex Wno-analyzer-jump-through-null
11143 @item -Wno-analyzer-jump-through-null
11144 This warning requires @option{-fanalyzer}, which enables it; use
11145 @option{-Wno-analyzer-jump-through-null}
11148 This diagnostic warns for paths through the code in which a @code{NULL}
11149 function pointer is called.
11151 @opindex Wanalyzer-malloc-leak
11152 @opindex Wno-analyzer-malloc-leak
11153 @item -Wno-analyzer-malloc-leak
11154 This warning requires @option{-fanalyzer}, which enables it; use
11155 @option{-Wno-analyzer-malloc-leak}
11158 This diagnostic warns for paths through the code in which a
11159 pointer allocated via an allocator is leaked: either @code{malloc},
11160 or a function marked with attribute @code{malloc}.
11162 See @uref{https://cwe.mitre.org/data/definitions/401.html, CWE-401: Missing Release of Memory after Effective Lifetime}.
11164 @opindex Wanalyzer-mismatching-deallocation
11165 @opindex Wno-analyzer-mismatching-deallocation
11166 @item -Wno-analyzer-mismatching-deallocation
11167 This warning requires @option{-fanalyzer}, which enables it; use
11168 @option{-Wno-analyzer-mismatching-deallocation}
11171 This diagnostic warns for paths through the code in which the
11172 wrong deallocation function is called on a pointer value, based on
11173 which function was used to allocate the pointer value. The diagnostic
11174 will warn about mismatches between @code{free}, scalar @code{delete}
11175 and vector @code{delete[]}, and those marked as allocator/deallocator
11176 pairs using attribute @code{malloc}.
11178 See @uref{https://cwe.mitre.org/data/definitions/762.html, CWE-762: Mismatched Memory Management Routines}.
11180 @opindex Wanalyzer-out-of-bounds
11181 @opindex Wno-analyzer-out-of-bounds
11182 @item -Wno-analyzer-out-of-bounds
11183 This warning requires @option{-fanalyzer}, which enables it; use
11184 @option{-Wno-analyzer-out-of-bounds} to disable it.
11186 This diagnostic warns for paths through the code in which a buffer is
11187 definitely read or written out-of-bounds. The diagnostic applies for
11188 cases where the analyzer is able to determine a constant offset and for
11189 accesses past the end of a buffer, also a constant capacity. Further,
11190 the diagnostic does limited checking for accesses past the end when the
11191 offset as well as the capacity is symbolic.
11193 See @uref{https://cwe.mitre.org/data/definitions/119.html, CWE-119: Improper Restriction of Operations within the Bounds of a Memory Buffer}.
11195 For cases where the analyzer is able, it will emit a text art diagram
11196 visualizing the spatial relationship between the memory region that the
11197 analyzer predicts would be accessed, versus the range of memory that is
11198 valid to access: whether they overlap, are touching, are close or far
11199 apart; which one is before or after in memory, the relative sizes
11200 involved, the direction of the access (read vs write), and, in some
11201 cases, the values of data involved. This diagram can be suppressed
11202 using @option{-fdiagnostics-text-art-charset=none}.
11204 @opindex Wanalyzer-overlapping-buffers
11205 @opindex Wno-analyzer-overlapping-buffers
11206 @item -Wno-analyzer-overlapping-buffers
11207 This warning requires @option{-fanalyzer}, which enables it; use
11208 @option{-Wno-analyzer-overlapping-buffers} to disable it.
11210 This diagnostic warns for paths through the code in which overlapping
11211 buffers are passed to an API for which the behavior on such buffers
11214 Specifically, the diagnostic occurs on calls to the following functions
11216 @item @code{memcpy}
11217 @item @code{strcat}
11218 @item @code{strcpy}
11220 for cases where the buffers are known to overlap.
11222 @opindex Wanalyzer-possible-null-argument
11223 @opindex Wno-analyzer-possible-null-argument
11224 @item -Wno-analyzer-possible-null-argument
11225 This warning requires @option{-fanalyzer}, which enables it; use
11226 @option{-Wno-analyzer-possible-null-argument} to disable it.
11228 This diagnostic warns for paths through the code in which a
11229 possibly-NULL value is passed to a function argument marked
11230 with @code{__attribute__((nonnull))} as requiring a non-NULL
11233 See @uref{https://cwe.mitre.org/data/definitions/690.html, CWE-690: Unchecked Return Value to NULL Pointer Dereference}.
11235 @opindex Wanalyzer-possible-null-dereference
11236 @opindex Wno-analyzer-possible-null-dereference
11237 @item -Wno-analyzer-possible-null-dereference
11238 This warning requires @option{-fanalyzer}, which enables it; use
11239 @option{-Wno-analyzer-possible-null-dereference} to disable it.
11241 This diagnostic warns for paths through the code in which a
11242 possibly-NULL value is dereferenced.
11244 See @uref{https://cwe.mitre.org/data/definitions/690.html, CWE-690: Unchecked Return Value to NULL Pointer Dereference}.
11246 @opindex Wanalyzer-null-argument
11247 @opindex Wno-analyzer-null-argument
11248 @item -Wno-analyzer-null-argument
11249 This warning requires @option{-fanalyzer}, which enables it; use
11250 @option{-Wno-analyzer-null-argument} to disable it.
11252 This diagnostic warns for paths through the code in which a
11253 value known to be NULL is passed to a function argument marked
11254 with @code{__attribute__((nonnull))} as requiring a non-NULL
11257 See @uref{https://cwe.mitre.org/data/definitions/476.html, CWE-476: NULL Pointer Dereference}.
11259 @opindex Wanalyzer-null-dereference
11260 @opindex Wno-analyzer-null-dereference
11261 @item -Wno-analyzer-null-dereference
11262 This warning requires @option{-fanalyzer}, which enables it; use
11263 @option{-Wno-analyzer-null-dereference} to disable it.
11265 This diagnostic warns for paths through the code in which a
11266 value known to be NULL is dereferenced.
11268 See @uref{https://cwe.mitre.org/data/definitions/476.html, CWE-476: NULL Pointer Dereference}.
11270 @opindex Wanalyzer-putenv-of-auto-var
11271 @opindex Wno-analyzer-putenv-of-auto-var
11272 @item -Wno-analyzer-putenv-of-auto-var
11273 This warning requires @option{-fanalyzer}, which enables it; use
11274 @option{-Wno-analyzer-putenv-of-auto-var} to disable it.
11276 This diagnostic warns for paths through the code in which a
11277 call to @code{putenv} is passed a pointer to an automatic variable
11278 or an on-stack buffer.
11280 See @uref{https://wiki.sei.cmu.edu/confluence/x/6NYxBQ, POS34-C. Do not call putenv() with a pointer to an automatic variable as the argument}.
11282 @opindex Wanalyzer-shift-count-negative
11283 @opindex Wno-analyzer-shift-count-negative
11284 @item -Wno-analyzer-shift-count-negative
11285 This warning requires @option{-fanalyzer}, which enables it; use
11286 @option{-Wno-analyzer-shift-count-negative} to disable it.
11288 This diagnostic warns for paths through the code in which a
11289 shift is attempted with a negative count. It is analogous to
11290 the @option{-Wshift-count-negative} diagnostic implemented in
11291 the C/C++ front ends, but is implemented based on analyzing
11292 interprocedural paths, rather than merely parsing the syntax tree.
11293 However, the analyzer does not prioritize detection of such paths, so
11294 false negatives are more likely relative to other warnings.
11296 @opindex Wanalyzer-shift-count-overflow
11297 @opindex Wno-analyzer-shift-count-overflow
11298 @item -Wno-analyzer-shift-count-overflow
11299 This warning requires @option{-fanalyzer}, which enables it; use
11300 @option{-Wno-analyzer-shift-count-overflow} to disable it.
11302 This diagnostic warns for paths through the code in which a
11303 shift is attempted with a count greater than or equal to the
11304 precision of the operand's type. It is analogous to
11305 the @option{-Wshift-count-overflow} diagnostic implemented in
11306 the C/C++ front ends, but is implemented based on analyzing
11307 interprocedural paths, rather than merely parsing the syntax tree.
11308 However, the analyzer does not prioritize detection of such paths, so
11309 false negatives are more likely relative to other warnings.
11311 @opindex Wanalyzer-stale-setjmp-buffer
11312 @opindex Wno-analyzer-stale-setjmp-buffer
11313 @item -Wno-analyzer-stale-setjmp-buffer
11314 This warning requires @option{-fanalyzer}, which enables it; use
11315 @option{-Wno-analyzer-stale-setjmp-buffer} to disable it.
11317 This diagnostic warns for paths through the code in which
11318 @code{longjmp} is called to rewind to a @code{jmp_buf} relating
11319 to a @code{setjmp} call in a function that has returned.
11321 When @code{setjmp} is called on a @code{jmp_buf} to record a rewind
11322 location, it records the stack frame. The stack frame becomes invalid
11323 when the function containing the @code{setjmp} call returns. Attempting
11324 to rewind to it via @code{longjmp} would reference a stack frame that
11325 no longer exists, and likely lead to a crash (or worse).
11327 @opindex Wanalyzer-tainted-allocation-size
11328 @opindex Wno-analyzer-tainted-allocation-size
11329 @item -Wno-analyzer-tainted-allocation-size
11330 This warning requires @option{-fanalyzer} which enables it;
11331 use @option{-Wno-analyzer-tainted-allocation-size} to disable it.
11333 This diagnostic warns for paths through the code in which a value
11334 that could be under an attacker's control is used as the size
11335 of an allocation without being sanitized, so that an attacker could
11336 inject an excessively large allocation and potentially cause a denial
11339 See @uref{https://cwe.mitre.org/data/definitions/789.html, CWE-789: Memory Allocation with Excessive Size Value}.
11341 @opindex Wanalyzer-tainted-assertion
11342 @opindex Wno-analyzer-tainted-assertion
11343 @item -Wno-analyzer-tainted-assertion
11345 This warning requires @option{-fanalyzer} which enables it;
11346 use @option{-Wno-analyzer-tainted-assertion} to disable it.
11348 This diagnostic warns for paths through the code in which a value
11349 that could be under an attacker's control is used as part of a
11350 condition without being first sanitized, and that condition guards a
11351 call to a function marked with attribute @code{noreturn}
11352 (such as the function @code{__builtin_unreachable}). Such functions
11353 typically indicate abnormal termination of the program, such as for
11354 assertion failure handlers. For example:
11357 assert (some_tainted_value < SOME_LIMIT);
11364 when assertion-checking is enabled: an attacker could trigger
11365 a denial of service by injecting an assertion failure
11368 when assertion-checking is disabled, such as by defining @code{NDEBUG},
11369 an attacker could inject data that subverts the process, since it
11370 presumably violates a precondition that is being assumed by the code.
11374 Note that when assertion-checking is disabled, the assertions are
11375 typically removed by the preprocessor before the analyzer has a chance
11376 to "see" them, so this diagnostic can only generate warnings on builds
11377 in which assertion-checking is enabled.
11379 For the purpose of this warning, any function marked with attribute
11380 @code{noreturn} is considered as a possible assertion failure
11381 handler, including @code{__builtin_unreachable}. Note that these functions
11382 are sometimes removed by the optimizer before the analyzer "sees" them.
11383 Hence optimization should be disabled when attempting to trigger this
11386 See @uref{https://cwe.mitre.org/data/definitions/617.html, CWE-617: Reachable Assertion}.
11388 The warning can also report problematic constructions such as
11391 switch (some_tainted_value) @{
11393 /* [...etc; various valid cases omitted...] */
11397 __builtin_unreachable (); /* BUG: attacker can trigger this */
11401 despite the above not being an assertion failure, strictly speaking.
11403 @opindex Wanalyzer-tainted-array-index
11404 @opindex Wno-analyzer-tainted-array-index
11405 @item -Wno-analyzer-tainted-array-index
11406 This warning requires @option{-fanalyzer} which enables it;
11407 use @option{-Wno-analyzer-tainted-array-index} to disable it.
11409 This diagnostic warns for paths through the code in which a value
11410 that could be under an attacker's control is used as the index
11411 of an array access without being sanitized, so that an attacker
11412 could inject an out-of-bounds access.
11414 See @uref{https://cwe.mitre.org/data/definitions/129.html, CWE-129: Improper Validation of Array Index}.
11416 @opindex Wanalyzer-tainted-divisor
11417 @opindex Wno-analyzer-tainted-divisor
11418 @item -Wno-analyzer-tainted-divisor
11419 This warning requires @option{-fanalyzer} which enables it;
11420 use @option{-Wno-analyzer-tainted-divisor} to disable it.
11422 This diagnostic warns for paths through the code in which a value
11423 that could be under an attacker's control is used as the divisor
11424 in a division or modulus operation without being sanitized, so that
11425 an attacker could inject a division-by-zero.
11427 See @uref{https://cwe.mitre.org/data/definitions/369.html, CWE-369: Divide By Zero}.
11429 @opindex Wanalyzer-tainted-offset
11430 @opindex Wno-analyzer-tainted-offset
11431 @item -Wno-analyzer-tainted-offset
11432 This warning requires @option{-fanalyzer} which enables it;
11433 use @option{-Wno-analyzer-tainted-offset} to disable it.
11435 This diagnostic warns for paths through the code in which a value
11436 that could be under an attacker's control is used as a pointer offset
11437 without being sanitized, so that an attacker could inject an out-of-bounds
11440 See @uref{https://cwe.mitre.org/data/definitions/823.html, CWE-823: Use of Out-of-range Pointer Offset}.
11442 @opindex Wanalyzer-tainted-size
11443 @opindex Wno-analyzer-tainted-size
11444 @item -Wno-analyzer-tainted-size
11445 This warning requires @option{-fanalyzer} which enables it;
11446 use @option{-Wno-analyzer-tainted-size} to disable it.
11448 This diagnostic warns for paths through the code in which a value
11449 that could be under an attacker's control is used as the size of
11450 an operation such as @code{memset} without being sanitized, so that an
11451 attacker could inject an out-of-bounds access.
11453 See @uref{https://cwe.mitre.org/data/definitions/129.html, CWE-129: Improper Validation of Array Index}.
11455 @opindex Wanalyzer-undefined-behavior-ptrdiff
11456 @opindex Wno-analyzer-undefined-behavior-ptrdiff
11457 @item -Wno-analyzer-undefined-behavior-ptrdiff
11458 This warning requires @option{-fanalyzer}, which enables it; use
11459 @option{-Wno-analyzer-undefined-behavior-ptrdiff} to disable it.
11461 This diagnostic warns for paths through the code in which a pointer
11462 subtraction occurs where the pointers refer to different chunks of
11463 memory. Such code relies on undefined behavior, as pointer subtraction
11464 is only defined for cases where both pointers point to within (or just
11465 after) the same array.
11467 See @uref{https://cwe.mitre.org/data/definitions/469.html, CWE-469: Use of Pointer Subtraction to Determine Size}.
11469 @opindex Wanalyzer-undefined-behavior-strtok
11470 @opindex Wno-analyzer-undefined-behavior-strtok
11471 @item -Wno-analyzer-undefined-behavior-strtok
11472 This warning requires @option{-fanalyzer}, which enables it; use
11473 @option{-Wno-analyzer-undefined-behavior-strtok} to disable it.
11475 This diagnostic warns for paths through the code in which a
11476 call is made to @code{strtok} with undefined behavior.
11478 Specifically, passing NULL as the first parameter for the initial
11479 call to @code{strtok} within a process has undefined behavior.
11481 @opindex Wanalyzer-unsafe-call-within-signal-handler
11482 @opindex Wno-analyzer-unsafe-call-within-signal-handler
11483 @item -Wno-analyzer-unsafe-call-within-signal-handler
11484 This warning requires @option{-fanalyzer}, which enables it; use
11485 @option{-Wno-analyzer-unsafe-call-within-signal-handler} to disable it.
11487 This diagnostic warns for paths through the code in which a
11488 function known to be async-signal-unsafe (such as @code{fprintf}) is
11489 called from a signal handler.
11491 See @uref{https://cwe.mitre.org/data/definitions/479.html, CWE-479: Signal Handler Use of a Non-reentrant Function}.
11493 @opindex Wanalyzer-use-after-free
11494 @opindex Wno-analyzer-use-after-free
11495 @item -Wno-analyzer-use-after-free
11496 This warning requires @option{-fanalyzer}, which enables it; use
11497 @option{-Wno-analyzer-use-after-free} to disable it.
11499 This diagnostic warns for paths through the code in which a
11500 pointer is used after a deallocator is called on it: either @code{free},
11501 or a deallocator referenced by attribute @code{malloc}.
11503 See @uref{https://cwe.mitre.org/data/definitions/416.html, CWE-416: Use After Free}.
11505 @opindex Wanalyzer-use-of-pointer-in-stale-stack-frame
11506 @opindex Wno-analyzer-use-of-pointer-in-stale-stack-frame
11507 @item -Wno-analyzer-use-of-pointer-in-stale-stack-frame
11508 This warning requires @option{-fanalyzer}, which enables it; use
11509 @option{-Wno-analyzer-use-of-pointer-in-stale-stack-frame}
11512 This diagnostic warns for paths through the code in which a pointer
11513 is dereferenced that points to a variable in a stale stack frame.
11515 @opindex Wanalyzer-va-arg-type-mismatch
11516 @opindex Wno-analyzer-va-arg-type-mismatch
11517 @item -Wno-analyzer-va-arg-type-mismatch
11518 This warning requires @option{-fanalyzer}, which enables it; use
11519 @option{-Wno-analyzer-va-arg-type-mismatch}
11522 This diagnostic warns for interprocedural paths through the code for which
11523 the analyzer detects an attempt to use @code{va_arg} to extract a value
11524 passed to a variadic call, but uses a type that does not match that of
11525 the expression passed to the call.
11527 See @uref{https://cwe.mitre.org/data/definitions/686.html, CWE-686: Function Call With Incorrect Argument Type}.
11529 @opindex Wanalyzer-va-list-exhausted
11530 @opindex Wno-analyzer-va-list-exhausted
11531 @item -Wno-analyzer-va-list-exhausted
11532 This warning requires @option{-fanalyzer}, which enables it; use
11533 @option{-Wno-analyzer-va-list-exhausted}
11536 This diagnostic warns for interprocedural paths through the code for which
11537 the analyzer detects an attempt to use @code{va_arg} to access the next
11538 value passed to a variadic call, but all of the values in the
11539 @code{va_list} have already been consumed.
11541 See @uref{https://cwe.mitre.org/data/definitions/685.html, CWE-685: Function Call With Incorrect Number of Arguments}.
11543 @opindex Wanalyzer-va-list-leak
11544 @opindex Wno-analyzer-va-list-leak
11545 @item -Wno-analyzer-va-list-leak
11546 This warning requires @option{-fanalyzer}, which enables it; use
11547 @option{-Wno-analyzer-va-list-leak}
11550 This diagnostic warns for interprocedural paths through the code for which
11551 the analyzer detects that @code{va_start} or @code{va_copy} has been called
11552 on a @code{va_list} without a corresponding call to @code{va_end}.
11554 @opindex Wanalyzer-va-list-use-after-va-end
11555 @opindex Wno-analyzer-va-list-use-after-va-end
11556 @item -Wno-analyzer-va-list-use-after-va-end
11557 This warning requires @option{-fanalyzer}, which enables it; use
11558 @option{-Wno-analyzer-va-list-use-after-va-end}
11561 This diagnostic warns for interprocedural paths through the code for which
11562 the analyzer detects an attempt to use a @code{va_list} after
11563 @code{va_end} has been called on it.
11566 @opindex Wanalyzer-write-to-const
11567 @opindex Wno-analyzer-write-to-const
11568 @item -Wno-analyzer-write-to-const
11569 This warning requires @option{-fanalyzer}, which enables it; use
11570 @option{-Wno-analyzer-write-to-const}
11573 This diagnostic warns for paths through the code in which the analyzer
11574 detects an attempt to write through a pointer to a @code{const} object.
11575 However, the analyzer does not prioritize detection of such paths, so
11576 false negatives are more likely relative to other warnings.
11578 @opindex Wanalyzer-write-to-string-literal
11579 @opindex Wno-analyzer-write-to-string-literal
11580 @item -Wno-analyzer-write-to-string-literal
11581 This warning requires @option{-fanalyzer}, which enables it; use
11582 @option{-Wno-analyzer-write-to-string-literal}
11585 This diagnostic warns for paths through the code in which the analyzer
11586 detects an attempt to write through a pointer to a string literal.
11587 However, the analyzer does not prioritize detection of such paths, so
11588 false negatives are more likely relative to other warnings.
11590 @opindex Wanalyzer-use-of-uninitialized-value
11591 @opindex Wno-analyzer-use-of-uninitialized-value
11592 @item -Wno-analyzer-use-of-uninitialized-value
11593 This warning requires @option{-fanalyzer}, which enables it; use
11594 @option{-Wno-analyzer-use-of-uninitialized-value} to disable it.
11596 This diagnostic warns for paths through the code in which an uninitialized
11599 See @uref{https://cwe.mitre.org/data/definitions/457.html, CWE-457: Use of Uninitialized Variable}.
11603 The analyzer has hardcoded knowledge about the behavior of the following
11604 memory-management functions:
11607 @item @code{alloca}
11608 @item The built-in functions @code{__builtin_alloc},
11609 @code{__builtin_alloc_with_align}, @item @code{__builtin_calloc},
11610 @code{__builtin_free}, @code{__builtin_malloc}, @code{__builtin_memcpy},
11611 @code{__builtin_memcpy_chk}, @code{__builtin_memset},
11612 @code{__builtin_memset_chk}, @code{__builtin_realloc},
11613 @code{__builtin_stack_restore}, and @code{__builtin_stack_save}
11614 @item @code{calloc}
11616 @item @code{malloc}
11617 @item @code{memset}
11618 @item @code{operator delete}
11619 @item @code{operator delete []}
11620 @item @code{operator new}
11621 @item @code{operator new []}
11622 @item @code{realloc}
11623 @item @code{strdup}
11624 @item @code{strndup}
11628 of the following functions for working with file descriptors:
11634 @item @code{dup}, @code{dup2} and @code{dup3}
11635 @item @code{isatty}
11636 @item @code{pipe}, and @code{pipe2}
11639 @item @code{socket}, @code{bind}, @code{listen}, @code{accept}, and @code{connect}
11643 of the following functions for working with @code{<stdio.h>} streams:
11645 @item The built-in functions @code{__builtin_fprintf},
11646 @code{__builtin_fprintf_unlocked}, @code{__builtin_fputc},
11647 @code{__builtin_fputc_unlocked}, @code{__builtin_fputs},
11648 @code{__builtin_fputs_unlocked}, @code{__builtin_fwrite},
11649 @code{__builtin_fwrite_unlocked}, @code{__builtin_printf},
11650 @code{__builtin_printf_unlocked}, @code{__builtin_putc},
11651 @code{__builtin_putchar}, @code{__builtin_putchar_unlocked},
11652 @code{__builtin_putc_unlocked}, @code{__builtin_puts},
11653 @code{__builtin_puts_unlocked}, @code{__builtin_vfprintf}, and
11654 @code{__builtin_vprintf}
11656 @item @code{fclose}
11657 @item @code{ferror}
11659 @item @code{fgets_unlocked}
11660 @item @code{fileno}
11663 @item @code{getchar}
11664 @item @code{fprintf}
11665 @item @code{printf}
11666 @item @code{fwrite}
11670 and of the following functions:
11673 @item The built-in functions @code{__builtin_expect},
11674 @code{__builtin_expect_with_probability}, @code{__builtin_strchr},
11675 @code{__builtin_strcpy}, @code{__builtin_strcpy_chk},
11676 @code{__builtin_strlen}, @code{__builtin_va_copy}, and
11677 @code{__builtin_va_start}
11678 @item The GNU extensions @code{error} and @code{error_at_line}
11679 @item @code{getpass}
11680 @item @code{longjmp}
11681 @item @code{putenv}
11682 @item @code{setjmp}
11683 @item @code{siglongjmp}
11684 @item @code{signal}
11685 @item @code{sigsetjmp}
11686 @item @code{strcat}
11687 @item @code{strchr}
11688 @item @code{strlen}
11691 In addition, various functions with an @code{__analyzer_} prefix have
11692 special meaning to the analyzer, described in the GCC Internals manual.
11694 Pertinent parameters for controlling the exploration are:
11696 @item @option{--param analyzer-bb-explosion-factor=@var{value}}
11697 @item @option{--param analyzer-max-enodes-per-program-point=@var{value}}
11698 @item @option{--param analyzer-max-recursion-depth=@var{value}}
11699 @item @option{--param analyzer-min-snodes-for-call-summary=@var{value}}
11702 The following options control the analyzer.
11706 @opindex fanalyzer-call-summaries
11707 @opindex fno-analyzer-call-summaries
11708 @item -fanalyzer-call-summaries
11709 Simplify interprocedural analysis by computing the effect of certain calls,
11710 rather than exploring all paths through the function from callsite to each
11713 If enabled, call summaries are only used for functions with more than one
11714 call site, and that are sufficiently complicated (as per
11715 @option{--param analyzer-min-snodes-for-call-summary=@var{value}}).
11717 @opindex fanalyzer-checker
11718 @item -fanalyzer-checker=@var{name}
11719 Restrict the analyzer to run just the named checker, and enable it.
11721 @opindex fanalyzer-debug-text-art
11722 @opindex fno-analyzer-debug-text-art
11723 @item -fanalyzer-debug-text-art-headings
11724 This option is intended for analyzer developers. If enabled,
11725 the analyzer will add extra annotations to any diagrams it generates.
11727 @opindex fanalyzer-feasibility
11728 @opindex fno-analyzer-feasibility
11729 @item -fno-analyzer-feasibility
11730 This option is intended for analyzer developers.
11732 By default the analyzer verifies that there is a feasible control flow path
11733 for each diagnostic it emits: that the conditions that hold are not mutually
11734 exclusive. Diagnostics for which no feasible path can be found are rejected.
11735 This filtering can be suppressed with @option{-fno-analyzer-feasibility}, for
11736 debugging issues in this code.
11738 @opindex fanalyzer-fine-grained
11739 @opindex fno-analyzer-fine-grained
11740 @item -fanalyzer-fine-grained
11741 This option is intended for analyzer developers.
11743 Internally the analyzer builds an ``exploded graph'' that combines
11744 control flow graphs with data flow information.
11746 By default, an edge in this graph can contain the effects of a run
11747 of multiple statements within a basic block. With
11748 @option{-fanalyzer-fine-grained}, each statement gets its own edge.
11750 @opindex fanalyzer-show-duplicate-count
11751 @opindex fno-analyzer-show-duplicate-count
11752 @item -fanalyzer-show-duplicate-count
11753 This option is intended for analyzer developers: if multiple diagnostics
11754 have been detected as being duplicates of each other, it emits a note when
11755 reporting the best diagnostic, giving the number of additional diagnostics
11756 that were suppressed by the deduplication logic.
11758 @opindex fanalyzer-show-events-in-system-headers
11759 @opindex fno-analyzer-show-events-in-system-headers
11760 @item -fanalyzer-show-events-in-system-headers
11761 By default the analyzer emits simplified diagnostics paths by hiding
11762 events fully located within a system header.
11763 With @option{-fanalyzer-show-events-in-system-headers} such
11764 events are no longer suppressed.
11766 @opindex fanalyzer-state-merge
11767 @opindex fno-analyzer-state-merge
11768 @item -fno-analyzer-state-merge
11769 This option is intended for analyzer developers.
11771 By default the analyzer attempts to simplify analysis by merging
11772 sufficiently similar states at each program point as it builds its
11773 ``exploded graph''. With @option{-fno-analyzer-state-merge} this
11774 merging can be suppressed, for debugging state-handling issues.
11776 @opindex fanalyzer-state-purge
11777 @opindex fno-analyzer-state-purge
11778 @item -fno-analyzer-state-purge
11779 This option is intended for analyzer developers.
11781 By default the analyzer attempts to simplify analysis by purging
11782 aspects of state at a program point that appear to no longer be relevant
11783 e.g. the values of locals that aren't accessed later in the function
11784 and which aren't relevant to leak analysis.
11786 With @option{-fno-analyzer-state-purge} this purging of state can
11787 be suppressed, for debugging state-handling issues.
11789 @opindex fanalyzer-suppress-followups
11790 @opindex fno-analyzer-suppress-followups
11791 @item -fno-analyzer-suppress-followups
11792 This option is intended for analyzer developers.
11794 By default the analyzer will stop exploring an execution path after
11795 encountering certain diagnostics, in order to avoid potentially issuing a
11796 cascade of follow-up diagnostics.
11798 The diagnostics that terminate analysis along a path are:
11801 @item @option{-Wanalyzer-null-argument}
11802 @item @option{-Wanalyzer-null-dereference}
11803 @item @option{-Wanalyzer-use-after-free}
11804 @item @option{-Wanalyzer-use-of-pointer-in-stale-stack-frame}
11805 @item @option{-Wanalyzer-use-of-uninitialized-value}
11808 With @option{-fno-analyzer-suppress-followups} the analyzer will
11809 continue to explore such paths even after such diagnostics, which may
11810 be helpful for debugging issues in the analyzer, or for microbenchmarks
11811 for detecting undefined behavior.
11813 @opindex fanalyzer-transitivity
11814 @opindex fno-analyzer-transitivity
11815 @item -fanalyzer-transitivity
11816 This option enables transitivity of constraints within the analyzer.
11818 @opindex fanalyzer-undo-inlining
11819 @opindex fno-analyzer-undo-inlining
11820 @item -fno-analyzer-undo-inlining
11821 This option is intended for analyzer developers.
11823 @option{-fanalyzer} runs relatively late compared to other code analysis
11824 tools, and some optimizations have already been applied to the code. In
11825 particular function inlining may have occurred, leading to the
11826 interprocedural execution paths emitted by the analyzer containing
11827 function frames that don't correspond to those in the original source
11830 By default the analyzer attempts to reconstruct the original function
11831 frames, and to emit events showing the inlined calls.
11833 With @option{-fno-analyzer-undo-inlining} this attempt to reconstruct
11834 the original frame information can be disabled, which may be of help
11835 when debugging issues in the analyzer.
11837 @item -fanalyzer-verbose-edges
11838 This option is intended for analyzer developers. It enables more
11839 verbose, lower-level detail in the descriptions of control flow
11840 within diagnostic paths.
11842 @item -fanalyzer-verbose-state-changes
11843 This option is intended for analyzer developers. It enables more
11844 verbose, lower-level detail in the descriptions of events relating
11845 to state machines within diagnostic paths.
11847 @item -fanalyzer-verbosity=@var{level}
11848 This option controls the complexity of the control flow paths that are
11849 emitted for analyzer diagnostics.
11851 The @var{level} can be one of:
11855 At this level, interprocedural call and return events are displayed,
11856 along with the most pertinent state-change events relating to
11857 a diagnostic. For example, for a double-@code{free} diagnostic,
11858 both calls to @code{free} will be shown.
11861 As per the previous level, but also show events for the entry
11865 As per the previous level, but also show events relating to
11866 control flow that are significant to triggering the issue
11867 (e.g. ``true path taken'' at a conditional).
11869 This level is the default.
11872 As per the previous level, but show all control flow events, not
11873 just significant ones.
11876 This level is intended for analyzer developers; it adds various
11877 other events intended for debugging the analyzer.
11881 @opindex fdump-analyzer
11882 @item -fdump-analyzer
11883 Dump internal details about what the analyzer is doing to
11884 @file{@var{file}.analyzer.txt}.
11885 @option{-fdump-analyzer-stderr} overrides this option.
11887 @opindex fdump-analyzer-stderr
11888 @item -fdump-analyzer-stderr
11889 Dump internal details about what the analyzer is doing to stderr.
11890 This option overrides @option{-fdump-analyzer}.
11892 @opindex fdump-analyzer-callgraph
11893 @item -fdump-analyzer-callgraph
11894 Dump a representation of the call graph suitable for viewing with
11895 GraphViz to @file{@var{file}.callgraph.dot}.
11897 @opindex fdump-analyzer-exploded-graph
11898 @item -fdump-analyzer-exploded-graph
11899 Dump a representation of the ``exploded graph'' suitable for viewing with
11900 GraphViz to @file{@var{file}.eg.dot}.
11901 Nodes are color-coded based on state-machine states to emphasize
11904 @opindex dump-analyzer-exploded-nodes
11905 @item -fdump-analyzer-exploded-nodes
11906 Emit diagnostics showing where nodes in the ``exploded graph'' are
11907 in relation to the program source.
11909 @opindex dump-analyzer-exploded-nodes-2
11910 @item -fdump-analyzer-exploded-nodes-2
11911 Dump a textual representation of the ``exploded graph'' to
11912 @file{@var{file}.eg.txt}.
11914 @opindex dump-analyzer-exploded-nodes-3
11915 @item -fdump-analyzer-exploded-nodes-3
11916 Dump a textual representation of the ``exploded graph'' to
11917 one dump file per node, to @file{@var{file}.eg-@var{id}.txt}.
11918 This is typically a large number of dump files.
11920 @opindex fdump-analyzer-exploded-paths
11921 @item -fdump-analyzer-exploded-paths
11922 Dump a textual representation of the ``exploded path'' for each
11923 diagnostic to @file{@var{file}.@var{idx}.@var{kind}.epath.txt}.
11925 @opindex dump-analyzer-feasibility
11926 @item -fdump-analyzer-feasibility
11927 Dump internal details about the analyzer's search for feasible paths.
11928 The details are written in a form suitable for viewing with GraphViz
11929 to filenames of the form @file{@var{file}.*.fg.dot},
11930 @file{@var{file}.*.tg.dot}, and @file{@var{file}.*.fpath.txt}.
11932 @opindex dump-analyzer-infinite-loop
11933 @item -fdump-analyzer-infinite-loop
11934 Dump internal details about the analyzer's search for infinite loops.
11935 The details are written in a form suitable for viewing with GraphViz
11936 to filenames of the form @file{@var{file}.*.infinite-loop.dot}.
11938 @opindex fdump-analyzer-json
11939 @item -fdump-analyzer-json
11940 Dump a compressed JSON representation of analyzer internals to
11941 @file{@var{file}.analyzer.json.gz}. The precise format is subject
11944 @opindex fdump-analyzer-state-purge
11945 @item -fdump-analyzer-state-purge
11946 As per @option{-fdump-analyzer-supergraph}, dump a representation of the
11947 ``supergraph'' suitable for viewing with GraphViz, but annotate the
11948 graph with information on what state will be purged at each node.
11949 The graph is written to @file{@var{file}.state-purge.dot}.
11951 @opindex fdump-analyzer-supergraph
11952 @item -fdump-analyzer-supergraph
11953 Dump representations of the ``supergraph'' suitable for viewing with
11954 GraphViz to @file{@var{file}.supergraph.dot} and to
11955 @file{@var{file}.supergraph-eg.dot}. These show all of the
11956 control flow graphs in the program, with interprocedural edges for
11957 calls and returns. The second dump contains annotations showing nodes
11958 in the ``exploded graph'' and diagnostics associated with them.
11960 @opindex fdump-analyzer-untracked
11961 @item -fdump-analyzer-untracked
11962 Emit custom warnings with internal details intended for analyzer developers.
11966 @node Debugging Options
11967 @section Options for Debugging Your Program
11968 @cindex options, debugging
11969 @cindex debugging information options
11971 To tell GCC to emit extra information for use by a debugger, in almost
11972 all cases you need only to add @option{-g} to your other options. Some debug
11973 formats can co-exist (like DWARF with CTF) when each of them is enabled
11974 explicitly by adding the respective command line option to your other options.
11976 GCC allows you to use @option{-g} with
11977 @option{-O}. The shortcuts taken by optimized code may occasionally
11978 be surprising: some variables you declared may not exist
11979 at all; flow of control may briefly move where you did not expect it;
11980 some statements may not be executed because they compute constant
11981 results or their values are already at hand; some statements may
11982 execute in different places because they have been moved out of loops.
11983 Nevertheless it is possible to debug optimized output. This makes
11984 it reasonable to use the optimizer for programs that might have bugs.
11986 If you are not using some other optimization option, consider
11987 using @option{-Og} (@pxref{Optimize Options}) with @option{-g}.
11988 With no @option{-O} option at all, some compiler passes that collect
11989 information useful for debugging do not run at all, so that
11990 @option{-Og} may result in a better debugging experience.
11995 Produce debugging information in the operating system's native format
11996 (stabs, COFF, XCOFF, or DWARF)@. GDB can work with this debugging
11999 On most systems that use stabs format, @option{-g} enables use of extra
12000 debugging information that only GDB can use; this extra information
12001 makes debugging work better in GDB but probably makes other debuggers
12002 crash or refuse to read the program. If you want to control for certain whether
12003 to generate the extra information, use @option{-gvms} (see below).
12007 Produce debugging information for use by GDB@. This means to use the
12008 most expressive format available (DWARF, stabs, or the native format
12009 if neither of those are supported), including GDB extensions if at all
12014 @itemx -gdwarf-@var{version}
12015 Produce debugging information in DWARF format (if that is supported).
12016 The value of @var{version} may be either 2, 3, 4 or 5; the default
12017 version for most targets is 5 (with the exception of VxWorks, TPF and
12018 Darwin / macOS, which default to version 2, and AIX, which defaults
12021 Note that with DWARF Version 2, some ports require and always
12022 use some non-conflicting DWARF 3 extensions in the unwind tables.
12024 Version 4 may require GDB 7.0 and @option{-fvar-tracking-assignments}
12025 for maximum benefit. Version 5 requires GDB 8.0 or higher.
12027 GCC no longer supports DWARF Version 1, which is substantially
12028 different than Version 2 and later. For historical reasons, some
12029 other DWARF-related options such as
12030 @option{-fno-dwarf2-cfi-asm}) retain a reference to DWARF Version 2
12031 in their names, but apply to all currently-supported versions of DWARF.
12035 Request BTF debug information. BTF is the default debugging format for the
12036 eBPF target. On other targets, like x86, BTF debug information can be
12037 generated along with DWARF debug information when both of the debug formats are
12038 enabled explicitly via their respective command line options.
12040 @opindex gprune-btf
12041 @opindex gno-prune-btf
12043 @itemx -gno-prune-btf
12044 Prune BTF information before emission. When pruning, only type
12045 information for types used by global variables and file-scope functions
12046 will be emitted. If compiling for the BPF target with BPF CO-RE
12047 enabled, type information will also be emitted for types used in BPF
12048 CO-RE relocations. In addition, struct and union types which are only
12049 referred to via pointers from members of other struct or union types
12050 shall be pruned and replaced with BTF_KIND_FWD, as though those types
12051 were only present in the input as forward declarations.
12053 This option substantially reduces the size of produced BTF information,
12054 but at significant loss in the amount of detailed type information.
12055 It is primarily useful when compiling for the BPF target, to minimize
12056 the size of the resulting object, and to eliminate BTF information
12057 which is not immediately relevant to the BPF program loading process.
12059 This option is enabled by default for the BPF target when generating
12064 @itemx -gctf@var{level}
12065 Request CTF debug information and use level to specify how much CTF debug
12066 information should be produced. If @option{-gctf} is specified
12067 without a value for level, the default level of CTF debug information is 2.
12069 CTF debug information can be generated along with DWARF debug information when
12070 both of the debug formats are enabled explicitly via their respective command
12073 Level 0 produces no CTF debug information at all. Thus, @option{-gctf0}
12074 negates @option{-gctf}.
12076 Level 1 produces CTF information for tracebacks only. This includes callsite
12077 information, but does not include type information.
12079 Level 2 produces type information for entities (functions, data objects etc.)
12080 at file-scope or global-scope only.
12084 Produce debugging information in Alpha/VMS debug format (if that is
12085 supported). This is the format used by DEBUG on Alpha/VMS systems.
12089 Produce debugging information in CodeView debug format (if that is
12090 supported). This is the format used by Microsoft Visual C++ on
12093 @item -g@var{level}
12094 @itemx -ggdb@var{level}
12095 @itemx -gvms@var{level}
12096 Request debugging information and also use @var{level} to specify how
12097 much information. The default level is 2.
12099 Level 0 produces no debug information at all. Thus, @option{-g0} negates
12102 Level 1 produces minimal information, enough for making backtraces in
12103 parts of the program that you don't plan to debug. This includes
12104 descriptions of functions and external variables, and line number
12105 tables, but no information about local variables.
12107 Level 3 includes extra information, such as all the macro definitions
12108 present in the program. Some debuggers support macro expansion when
12109 you use @option{-g3}.
12111 If you use multiple @option{-g} options, with or without level numbers,
12112 the last such option is the one that is effective.
12114 @option{-gdwarf} does not accept a concatenated debug level, to avoid
12115 confusion with @option{-gdwarf-@var{level}}.
12116 Instead use an additional @option{-g@var{level}} option to change the
12117 debug level for DWARF.
12119 @opindex feliminate-unused-debug-symbols
12120 @opindex fno-eliminate-unused-debug-symbols
12121 @item -fno-eliminate-unused-debug-symbols
12122 By default, no debug information is produced for symbols that are not actually
12123 used. Use this option if you want debug information for all symbols.
12125 @opindex femit-class-debug-always
12126 @item -femit-class-debug-always
12127 Instead of emitting debugging information for a C++ class in only one
12128 object file, emit it in all object files using the class. This option
12129 should be used only with debuggers that are unable to handle the way GCC
12130 normally emits debugging information for classes because using this
12131 option increases the size of debugging information by as much as a
12134 @opindex fmerge-debug-strings
12135 @opindex fno-merge-debug-strings
12136 @item -fno-merge-debug-strings
12137 Direct the linker to not merge together strings in the debugging
12138 information that are identical in different object files. Merging is
12139 not supported by all assemblers or linkers. Merging decreases the size
12140 of the debug information in the output file at the cost of increasing
12141 link processing time. Merging is enabled by default.
12143 @opindex fdebug-prefix-map
12144 @item -fdebug-prefix-map=@var{old}=@var{new}
12145 When compiling files residing in directory @file{@var{old}}, record
12146 debugging information describing them as if the files resided in
12147 directory @file{@var{new}} instead. This can be used to replace a
12148 build-time path with an install-time path in the debug info. It can
12149 also be used to change an absolute path to a relative path by using
12150 @file{.} for @var{new}. This can give more reproducible builds, which
12151 are location independent, but may require an extra command to tell GDB
12152 where to find the source files. See also @option{-ffile-prefix-map}
12153 and @option{-fcanon-prefix-map}.
12155 @opindex fvar-tracking
12156 @item -fvar-tracking
12157 Run variable tracking pass. It computes where variables are stored at each
12158 position in code. Better debugging information is then generated
12159 (if the debugging information format supports this information).
12161 It is enabled by default when compiling with optimization (@option{-Os},
12162 @option{-O}, @option{-O2}, @dots{}), debugging information (@option{-g}) and
12163 the debug info format supports it.
12165 @opindex fvar-tracking-assignments
12166 @opindex fno-var-tracking-assignments
12167 @item -fvar-tracking-assignments
12168 Annotate assignments to user variables early in the compilation and
12169 attempt to carry the annotations over throughout the compilation all the
12170 way to the end, in an attempt to improve debug information while
12171 optimizing. Use of @option{-gdwarf-4} is recommended along with it.
12173 It can be enabled even if var-tracking is disabled, in which case
12174 annotations are created and maintained, but discarded at the end.
12175 By default, this flag is enabled together with @option{-fvar-tracking},
12176 except when selective scheduling is enabled.
12178 @opindex gsplit-dwarf
12179 @item -gsplit-dwarf
12180 If DWARF debugging information is enabled, separate as much debugging
12181 information as possible into a separate output file with the extension
12182 @file{.dwo}. This option allows the build system to avoid linking files with
12183 debug information. To be useful, this option requires a debugger capable of
12184 reading @file{.dwo} files.
12190 If DWARF debugging information is enabled, the @option{-gdwarf32} selects
12191 the 32-bit DWARF format and the @option{-gdwarf64} selects the 64-bit
12192 DWARF format. The default is target specific, on most targets it is
12193 @option{-gdwarf32} though. The 32-bit DWARF format is smaller, but
12194 can't support more than 2GiB of debug information in any of the DWARF
12195 debug information sections. The 64-bit DWARF format allows larger debug
12196 information and might not be well supported by all consumers yet.
12198 @opindex gdescribe-dies
12199 @item -gdescribe-dies
12200 Add description attributes to some DWARF DIEs that have no name attribute,
12201 such as artificial variables, external references and call site
12206 Generate DWARF @code{.debug_pubnames} and @code{.debug_pubtypes} sections.
12208 @opindex ggnu-pubnames
12209 @item -ggnu-pubnames
12210 Generate @code{.debug_pubnames} and @code{.debug_pubtypes} sections in a format
12211 suitable for conversion into a GDB@ index. This option is only useful
12212 with a linker that can produce GDB@ index version 7.
12214 @opindex fdebug-types-section
12215 @opindex fno-debug-types-section
12216 @item -fdebug-types-section
12217 When using DWARF Version 4 or higher, type DIEs can be put into
12218 their own @code{.debug_types} section instead of making them part of the
12219 @code{.debug_info} section. It is more efficient to put them in a separate
12220 comdat section since the linker can then remove duplicates.
12221 But not all DWARF consumers support @code{.debug_types} sections yet
12222 and on some objects @code{.debug_types} produces larger instead of smaller
12223 debugging information.
12225 @opindex grecord-gcc-switches
12226 @opindex gno-record-gcc-switches
12227 @item -grecord-gcc-switches
12228 @itemx -gno-record-gcc-switches
12229 This switch causes the command-line options used to invoke the
12230 compiler that may affect code generation to be appended to the
12231 DW_AT_producer attribute in DWARF debugging information. The options
12232 are concatenated with spaces separating them from each other and from
12233 the compiler version.
12234 It is enabled by default.
12235 See also @option{-frecord-gcc-switches} for another
12236 way of storing compiler options into the object file.
12238 @opindex gstrict-dwarf
12239 @item -gstrict-dwarf
12240 Disallow using extensions of later DWARF standard version than selected
12241 with @option{-gdwarf-@var{version}}. On most targets using non-conflicting
12242 DWARF extensions from later standard versions is allowed.
12244 @opindex gno-strict-dwarf
12245 @item -gno-strict-dwarf
12246 Allow using extensions of later DWARF standard version than selected with
12247 @option{-gdwarf-@var{version}}.
12249 @opindex gas-loc-support
12250 @item -gas-loc-support
12251 Inform the compiler that the assembler supports @code{.loc} directives.
12252 It may then use them for the assembler to generate DWARF2+ line number
12255 This is generally desirable, because assembler-generated line-number
12256 tables are a lot more compact than those the compiler can generate
12259 This option will be enabled by default if, at GCC configure time, the
12260 assembler was found to support such directives.
12262 @opindex gno-as-loc-support
12263 @item -gno-as-loc-support
12264 Force GCC to generate DWARF2+ line number tables internally, if DWARF2+
12265 line number tables are to be generated.
12267 @opindex gas-locview-support
12268 @item -gas-locview-support
12269 Inform the compiler that the assembler supports @code{view} assignment
12270 and reset assertion checking in @code{.loc} directives.
12272 This option will be enabled by default if, at GCC configure time, the
12273 assembler was found to support them.
12275 @item -gno-as-locview-support
12276 Force GCC to assign view numbers internally, if
12277 @option{-gvariable-location-views} are explicitly requested.
12279 @opindex gcolumn-info
12280 @opindex gno-column-info
12281 @item -gcolumn-info
12282 @itemx -gno-column-info
12283 Emit location column information into DWARF debugging information, rather
12284 than just file and line.
12285 This option is enabled by default.
12287 @opindex gstatement-frontiers
12288 @opindex gno-statement-frontiers
12289 @item -gstatement-frontiers
12290 @itemx -gno-statement-frontiers
12291 This option causes GCC to create markers in the internal representation
12292 at the beginning of statements, and to keep them roughly in place
12293 throughout compilation, using them to guide the output of @code{is_stmt}
12294 markers in the line number table. This is enabled by default when
12295 compiling with optimization (@option{-Os}, @option{-O1}, @option{-O2},
12296 @dots{}), and outputting DWARF 2 debug information at the normal level.
12298 @opindex gvariable-location-views
12299 @opindex gvariable-location-views=incompat5
12300 @opindex gno-variable-location-views
12301 @item -gvariable-location-views
12302 @itemx -gvariable-location-views=incompat5
12303 @itemx -gno-variable-location-views
12304 Augment variable location lists with progressive view numbers implied
12305 from the line number table. This enables debug information consumers to
12306 inspect state at certain points of the program, even if no instructions
12307 associated with the corresponding source locations are present at that
12308 point. If the assembler lacks support for view numbers in line number
12309 tables, this will cause the compiler to emit the line number table,
12310 which generally makes them somewhat less compact. The augmented line
12311 number tables and location lists are fully backward-compatible, so they
12312 can be consumed by debug information consumers that are not aware of
12313 these augmentations, but they won't derive any benefit from them either.
12315 This is enabled by default when outputting DWARF 2 debug information at
12316 the normal level, as long as there is assembler support,
12317 @option{-fvar-tracking-assignments} is enabled and
12318 @option{-gstrict-dwarf} is not. When assembler support is not
12319 available, this may still be enabled, but it will force GCC to output
12320 internal line number tables, and if
12321 @option{-ginternal-reset-location-views} is not enabled, that will most
12322 certainly lead to silently mismatching location views.
12324 There is a proposed representation for view numbers that is not backward
12325 compatible with the location list format introduced in DWARF 5, that can
12326 be enabled with @option{-gvariable-location-views=incompat5}. This
12327 option may be removed in the future, is only provided as a reference
12328 implementation of the proposed representation. Debug information
12329 consumers are not expected to support this extended format, and they
12330 would be rendered unable to decode location lists using it.
12332 @opindex ginternal-reset-location-views
12333 @opindex gno-internal-reset-location-views
12334 @item -ginternal-reset-location-views
12335 @itemx -gno-internal-reset-location-views
12336 Attempt to determine location views that can be omitted from location
12337 view lists. This requires the compiler to have very accurate insn
12338 length estimates, which isn't always the case, and it may cause
12339 incorrect view lists to be generated silently when using an assembler
12340 that does not support location view lists. The GNU assembler will flag
12341 any such error as a @code{view number mismatch}. This is only enabled
12342 on ports that define a reliable estimation function.
12344 @opindex ginline-points
12345 @opindex gno-inline-points
12346 @item -ginline-points
12347 @itemx -gno-inline-points
12348 Generate extended debug information for inlined functions. Location
12349 view tracking markers are inserted at inlined entry points, so that
12350 address and view numbers can be computed and output in debug
12351 information. This can be enabled independently of location views, in
12352 which case the view numbers won't be output, but it can only be enabled
12353 along with statement frontiers, and it is only enabled by default if
12354 location views are enabled.
12357 @item -gz@r{[}=@var{type}@r{]}
12358 Produce compressed debug sections in DWARF format, if that is supported.
12359 If @var{type} is not given, the default type depends on the capabilities
12360 of the assembler and linker used. @var{type} may be one of
12361 @samp{none} (don't compress debug sections), or @samp{zlib} (use zlib
12362 compression in ELF gABI format). If the linker doesn't support writing
12363 compressed debug sections, the option is rejected. Otherwise, if the
12364 assembler does not support them, @option{-gz} is silently ignored when
12365 producing object files.
12367 @opindex femit-struct-debug-baseonly
12368 @item -femit-struct-debug-baseonly
12369 Emit debug information for struct-like types
12370 only when the base name of the compilation source file
12371 matches the base name of file in which the struct is defined.
12373 This option substantially reduces the size of debugging information,
12374 but at significant potential loss in type information to the debugger.
12375 See @option{-femit-struct-debug-reduced} for a less aggressive option.
12376 See @option{-femit-struct-debug-detailed} for more detailed control.
12378 This option works only with DWARF debug output.
12380 @opindex femit-struct-debug-reduced
12381 @item -femit-struct-debug-reduced
12382 Emit debug information for struct-like types
12383 only when the base name of the compilation source file
12384 matches the base name of file in which the type is defined,
12385 unless the struct is a template or defined in a system header.
12387 This option significantly reduces the size of debugging information,
12388 with some potential loss in type information to the debugger.
12389 See @option{-femit-struct-debug-baseonly} for a more aggressive option.
12390 See @option{-femit-struct-debug-detailed} for more detailed control.
12392 This option works only with DWARF debug output.
12394 @opindex femit-struct-debug-detailed
12395 @item -femit-struct-debug-detailed@r{[}=@var{spec-list}@r{]}
12396 Specify the struct-like types
12397 for which the compiler generates debug information.
12398 The intent is to reduce duplicate struct debug information
12399 between different object files within the same program.
12401 This option is a detailed version of
12402 @option{-femit-struct-debug-reduced} and @option{-femit-struct-debug-baseonly},
12403 which serves for most needs.
12405 A specification has the syntax@*
12406 [@samp{dir:}|@samp{ind:}][@samp{ord:}|@samp{gen:}](@samp{any}|@samp{sys}|@samp{base}|@samp{none})
12408 The optional first word limits the specification to
12409 structs that are used directly (@samp{dir:}) or used indirectly (@samp{ind:}).
12410 A struct type is used directly when it is the type of a variable, member.
12411 Indirect uses arise through pointers to structs.
12412 That is, when use of an incomplete struct is valid, the use is indirect.
12414 @samp{struct one direct; struct two * indirect;}.
12416 The optional second word limits the specification to
12417 ordinary structs (@samp{ord:}) or generic structs (@samp{gen:}).
12418 Generic structs are a bit complicated to explain.
12419 For C++, these are non-explicit specializations of template classes,
12420 or non-template classes within the above.
12421 Other programming languages have generics,
12422 but @option{-femit-struct-debug-detailed} does not yet implement them.
12424 The third word specifies the source files for those
12425 structs for which the compiler should emit debug information.
12426 The values @samp{none} and @samp{any} have the normal meaning.
12427 The value @samp{base} means that
12428 the base of name of the file in which the type declaration appears
12429 must match the base of the name of the main compilation file.
12430 In practice, this means that when compiling @file{foo.c}, debug information
12431 is generated for types declared in that file and @file{foo.h},
12432 but not other header files.
12433 The value @samp{sys} means those types satisfying @samp{base}
12434 or declared in system or compiler headers.
12436 You may need to experiment to determine the best settings for your application.
12438 The default is @option{-femit-struct-debug-detailed=all}.
12440 This option works only with DWARF debug output.
12442 @opindex fdwarf2-cfi-asm
12443 @opindex fno-dwarf2-cfi-asm
12444 @item -fno-dwarf2-cfi-asm
12445 Emit DWARF unwind info as compiler generated @code{.eh_frame} section
12446 instead of using GAS @code{.cfi_*} directives.
12448 @opindex feliminate-unused-debug-types
12449 @opindex fno-eliminate-unused-debug-types
12450 @item -fno-eliminate-unused-debug-types
12451 Normally, when producing DWARF output, GCC avoids producing debug symbol
12452 output for types that are nowhere used in the source file being compiled.
12453 Sometimes it is useful to have GCC emit debugging
12454 information for all types declared in a compilation
12455 unit, regardless of whether or not they are actually used
12456 in that compilation unit, for example
12457 if, in the debugger, you want to cast a value to a type that is
12458 not actually used in your program (but is declared). More often,
12459 however, this results in a significant amount of wasted space.
12462 @node Optimize Options
12463 @section Options That Control Optimization
12464 @cindex optimize options
12465 @cindex options, optimization
12467 These options control various sorts of optimizations.
12469 Without any optimization option, the compiler's goal is to reduce the
12470 cost of compilation and to make debugging produce the expected
12471 results. Statements are independent: if you stop the program with a
12472 breakpoint between statements, you can then assign a new value to any
12473 variable or change the program counter to any other statement in the
12474 function and get exactly the results you expect from the source
12477 Turning on optimization flags makes the compiler attempt to improve
12478 the performance and/or code size at the expense of compilation time
12479 and possibly the ability to debug the program.
12481 The compiler performs optimization based on the knowledge it has of the
12482 program. Compiling multiple files at once to a single output file mode allows
12483 the compiler to use information gained from all of the files when compiling
12486 Not all optimizations are controlled directly by a flag. Only
12487 optimizations that have a flag are listed in this section.
12489 Most optimizations are completely disabled at @option{-O0} or if an
12490 @option{-O} level is not set on the command line, even if individual
12491 optimization flags are specified. Similarly, @option{-Og} suppresses
12492 many optimization passes.
12494 Depending on the target and how GCC was configured, a slightly different
12495 set of optimizations may be enabled at each @option{-O} level than
12496 those listed here. You can invoke GCC with @option{-Q --help=optimizers}
12497 to find out the exact set of optimizations that are enabled at each level.
12498 @xref{Overall Options}, for examples.
12505 Optimize. Optimizing compilation takes somewhat more time, and a lot
12506 more memory for a large function.
12508 With @option{-O}, the compiler tries to reduce code size and execution
12509 time, without performing any optimizations that take a great deal of
12512 @option{-O} is the recommended optimization level for large machine-generated
12513 code as a sensible balance between time taken to compile and memory use:
12514 higher optimization levels perform optimizations with greater algorithmic
12515 complexity than at @option{-O}.
12517 @c Note that in addition to the default_options_table list in opts.cc,
12518 @c several optimization flags default to true but control optimization
12519 @c passes that are explicitly disabled at -O0.
12521 @option{-O} turns on the following optimization flags:
12523 @c Please keep the following list alphabetized.
12524 @gccoptlist{-fauto-inc-dec
12526 -fcombine-stack-adjustments
12533 -fforward-propagate
12534 -fguess-branch-probability
12537 -finline-functions-called-once
12542 -fipa-reference-addressable
12544 -fmove-loop-invariants
12546 -fomit-frame-pointer
12549 -fshrink-wrap-separate
12556 -ftree-coalesce-vars
12559 -ftree-dominator-opts
12574 Optimize even more. GCC performs nearly all supported optimizations
12575 that do not involve a space-speed tradeoff.
12576 As compared to @option{-O}, this option increases both compilation time
12577 and the performance of the generated code.
12579 @option{-O2} turns on all optimization flags specified by @option{-O1}. It
12580 also turns on the following optimization flags:
12582 @c Please keep the following list alphabetized!
12583 @gccoptlist{-falign-functions -falign-jumps
12584 -falign-labels -falign-loops
12588 -fcse-follow-jumps -fcse-skip-blocks
12589 -fdelete-null-pointer-checks
12590 -fdevirtualize -fdevirtualize-speculatively
12591 -fexpensive-optimizations
12594 -fhoist-adjacent-loads
12596 -finline-small-functions
12597 -findirect-inlining
12598 -fipa-bit-cp -fipa-cp -fipa-icf
12599 -fipa-ra -fipa-sra -fipa-vrp
12600 -fisolate-erroneous-paths-dereference
12602 -foptimize-sibling-calls
12606 -freorder-blocks-algorithm=stc
12607 -freorder-blocks-and-partition -freorder-functions
12608 -frerun-cse-after-loop
12609 -fschedule-insns -fschedule-insns2
12610 -fsched-interblock -fsched-spec
12614 -ftree-builtin-call-dce
12615 -ftree-loop-vectorize
12617 -ftree-slp-vectorize
12618 -ftree-switch-conversion -ftree-tail-merge
12620 -fvect-cost-model=very-cheap}
12622 Please note the warning under @option{-fgcse} about
12623 invoking @option{-O2} on programs that use computed gotos.
12627 Optimize yet more. @option{-O3} turns on all optimizations specified
12628 by @option{-O2} and also turns on the following optimization flags:
12630 @c Please keep the following list alphabetized!
12631 @gccoptlist{-fgcse-after-reload
12634 -floop-unroll-and-jam
12636 -fpredictive-commoning
12639 -ftree-loop-distribution
12642 -fvect-cost-model=dynamic
12643 -fversion-loops-for-strides}
12647 Reduce compilation time and make debugging produce the expected
12648 results. This is the default.
12652 Optimize for size. @option{-Os} enables all @option{-O2} optimizations
12653 except those that often increase code size:
12655 @gccoptlist{-falign-functions -falign-jumps
12656 -falign-labels -falign-loops
12657 -fprefetch-loop-arrays -freorder-blocks-algorithm=stc}
12659 It also enables @option{-finline-functions}, causes the compiler to tune for
12660 code size rather than execution speed, and performs further optimizations
12661 designed to reduce code size.
12665 Disregard strict standards compliance. @option{-Ofast} enables all
12666 @option{-O3} optimizations. It also enables optimizations that are not
12667 valid for all standard-compliant programs.
12668 It turns on @option{-ffast-math}, @option{-fallow-store-data-races}
12669 and the Fortran-specific @option{-fstack-arrays}, unless
12670 @option{-fmax-stack-var-size} is specified, and @option{-fno-protect-parens}.
12671 It turns off @option{-fsemantic-interposition}.
12675 Optimize debugging experience. @option{-Og} should be the optimization
12676 level of choice for the standard edit-compile-debug cycle, offering
12677 a reasonable level of optimization while maintaining fast compilation
12678 and a good debugging experience. It is a better choice than @option{-O0}
12679 for producing debuggable code because some compiler passes
12680 that collect debug information are disabled at @option{-O0}.
12682 Like @option{-O0}, @option{-Og} completely disables a number of
12683 optimization passes so that individual options controlling them have
12684 no effect. Otherwise @option{-Og} enables all @option{-O1}
12685 optimization flags except for those that may interfere with debugging:
12687 @gccoptlist{-fbranch-count-reg -fdelayed-branch
12688 -fdse -fif-conversion -fif-conversion2
12689 -finline-functions-called-once
12690 -fmove-loop-invariants -fmove-loop-stores -fssa-phiopt
12691 -ftree-bit-ccp -ftree-dse -ftree-pta -ftree-sra}
12695 Optimize aggressively for size rather than speed. This may increase
12696 the number of instructions executed if those instructions require
12697 fewer bytes to encode. @option{-Oz} behaves similarly to @option{-Os}
12698 including enabling most @option{-O2} optimizations.
12702 If you use multiple @option{-O} options, with or without level numbers,
12703 the last such option is the one that is effective.
12705 Options of the form @option{-f@var{flag}} specify machine-independent
12706 flags. Most flags have both positive and negative forms; the negative
12707 form of @option{-ffoo} is @option{-fno-foo}. In the table
12708 below, only one of the forms is listed---the one you typically
12709 use. You can figure out the other form by either removing @samp{no-}
12712 The following options control specific optimizations. They are either
12713 activated by @option{-O} options or are related to ones that are. You
12714 can use the following flags in the rare cases when ``fine-tuning'' of
12715 optimizations to be performed is desired.
12718 @opindex fno-defer-pop
12719 @opindex fdefer-pop
12720 @item -fno-defer-pop
12721 For machines that must pop arguments after a function call, always pop
12722 the arguments as soon as each function returns.
12723 At levels @option{-O1} and higher, @option{-fdefer-pop} is the default;
12724 this allows the compiler to let arguments accumulate on the stack for several
12725 function calls and pop them all at once.
12727 @opindex fforward-propagate
12728 @item -fforward-propagate
12729 Perform a forward propagation pass on RTL@. The pass tries to combine two
12730 instructions and checks if the result can be simplified. If loop unrolling
12731 is active, two passes are performed and the second is scheduled after
12734 This option is enabled by default at optimization levels @option{-O1},
12735 @option{-O2}, @option{-O3}, @option{-Os}.
12737 @opindex ffp-contract
12738 @item -ffp-contract=@var{style}
12739 @option{-ffp-contract=off} disables floating-point expression contraction.
12740 @option{-ffp-contract=fast} enables floating-point expression contraction
12741 such as forming of fused multiply-add operations if the target has
12742 native support for them.
12743 @option{-ffp-contract=on} enables floating-point expression contraction
12744 if allowed by the language standard. This is implemented for C and C++,
12745 where it enables contraction within one expression, but not across
12746 different statements.
12748 The default is @option{-ffp-contract=off} for C in a standards compliant mode
12749 (@option{-std=c11} or similar), @option{-ffp-contract=fast} otherwise.
12751 @opindex fomit-frame-pointer
12752 @item -fomit-frame-pointer
12753 Omit the frame pointer in functions that don't need one. This avoids the
12754 instructions to save, set up and restore the frame pointer; on many targets
12755 it also makes an extra register available.
12757 On some targets this flag has no effect because the standard calling sequence
12758 always uses a frame pointer, so it cannot be omitted.
12760 Note that @option{-fno-omit-frame-pointer} doesn't guarantee the frame pointer
12761 is used in all functions. Several targets always omit the frame pointer in
12764 Enabled by default at @option{-O1} and higher.
12766 @opindex foptimize-sibling-calls
12767 @item -foptimize-sibling-calls
12768 Optimize sibling and tail recursive calls.
12770 Enabled at levels @option{-O2}, @option{-O3}, @option{-Os}.
12772 @opindex foptimize-strlen
12773 @item -foptimize-strlen
12774 Optimize various standard C string functions (e.g.@: @code{strlen},
12775 @code{strchr} or @code{strcpy}) and
12776 their @code{_FORTIFY_SOURCE} counterparts into faster alternatives.
12778 Enabled at levels @option{-O2}, @option{-O3}.
12780 @opindex finline-stringops
12781 @item -finline-stringops[=@var{fn}]
12782 Expand memory and string operations (for now, only @code{memset})
12783 inline, even when the length is variable or big enough as to require
12784 looping. This is most useful along with @option{-ffreestanding} and
12785 @option{-fno-builtin}.
12787 In some circumstances, it enables the compiler to generate code that
12788 takes advantage of known alignment and length multipliers, but even then
12789 it may be less efficient than optimized runtime implementations, and
12790 grow code size so much that even a less performant but shared
12791 implementation runs faster due to better use of code caches. This
12792 option is disabled by default.
12794 @opindex fno-inline
12797 Do not expand any functions inline apart from those marked with
12798 the @code{always_inline} attribute. This is the default when not
12801 Single functions can be exempted from inlining by marking them
12802 with the @code{noinline} attribute.
12804 @opindex finline-small-functions
12805 @item -finline-small-functions
12806 Integrate functions into their callers when their body is smaller than expected
12807 function call code (so overall size of program gets smaller). The compiler
12808 heuristically decides which functions are simple enough to be worth integrating
12809 in this way. This inlining applies to all functions, even those not declared
12812 Enabled at levels @option{-O2}, @option{-O3}, @option{-Os}.
12814 @opindex findirect-inlining
12815 @item -findirect-inlining
12816 Inline also indirect calls that are discovered to be known at compile
12817 time thanks to previous inlining. This option has any effect only
12818 when inlining itself is turned on by the @option{-finline-functions}
12819 or @option{-finline-small-functions} options.
12821 Enabled at levels @option{-O2}, @option{-O3}, @option{-Os}.
12823 @opindex finline-functions
12824 @item -finline-functions
12825 Consider all functions for inlining, even if they are not declared inline.
12826 The compiler heuristically decides which functions are worth integrating
12829 If all calls to a given function are integrated, and the function is
12830 declared @code{static}, then the function is normally not output as
12831 assembler code in its own right.
12833 Enabled at levels @option{-O2}, @option{-O3}, @option{-Os}. Also enabled
12834 by @option{-fprofile-use} and @option{-fauto-profile}.
12836 @opindex finline-functions-called-once
12837 @item -finline-functions-called-once
12838 Consider all @code{static} functions called once for inlining into their
12839 caller even if they are not marked @code{inline}. If a call to a given
12840 function is integrated, then the function is not output as assembler code
12843 Enabled at levels @option{-O1}, @option{-O2}, @option{-O3} and @option{-Os},
12844 but not @option{-Og}.
12846 @opindex fearly-inlining
12847 @item -fearly-inlining
12848 Inline functions marked by @code{always_inline} and functions whose body seems
12849 smaller than the function call overhead early before doing
12850 @option{-fprofile-generate} instrumentation and real inlining pass. Doing so
12851 makes profiling significantly cheaper and usually inlining faster on programs
12852 having large chains of nested wrapper functions.
12854 Enabled by default.
12858 Perform interprocedural scalar replacement of aggregates, removal of
12859 unused parameters and replacement of parameters passed by reference
12860 by parameters passed by value.
12862 Enabled at levels @option{-O2}, @option{-O3} and @option{-Os}.
12864 @opindex finline-limit
12865 @item -finline-limit=@var{n}
12866 By default, GCC limits the size of functions that can be inlined. This flag
12867 allows coarse control of this limit. @var{n} is the size of functions that
12868 can be inlined in number of pseudo instructions.
12870 Inlining is actually controlled by a number of parameters, which may be
12871 specified individually by using @option{--param @var{name}=@var{value}}.
12872 The @option{-finline-limit=@var{n}} option sets some of these parameters
12876 @item max-inline-insns-single
12877 is set to @var{n}/2.
12878 @item max-inline-insns-auto
12879 is set to @var{n}/2.
12882 See below for a documentation of the individual
12883 parameters controlling inlining and for the defaults of these parameters.
12885 @emph{Note:} there may be no value to @option{-finline-limit} that results
12886 in default behavior.
12888 @emph{Note:} pseudo instruction represents, in this particular context, an
12889 abstract measurement of function's size. In no way does it represent a count
12890 of assembly instructions and as such its exact meaning might change from one
12891 release to an another.
12893 @opindex fno-keep-inline-dllexport
12894 @opindex fkeep-inline-dllexport
12895 @item -fno-keep-inline-dllexport
12896 This is a more fine-grained version of @option{-fkeep-inline-functions},
12897 which applies only to functions that are declared using the @code{dllexport}
12898 attribute or declspec. @xref{Function Attributes,,Declaring Attributes of
12901 @opindex fkeep-inline-functions
12902 @item -fkeep-inline-functions
12903 In C, emit @code{static} functions that are declared @code{inline}
12904 into the object file, even if the function has been inlined into all
12905 of its callers. This switch does not affect functions using the
12906 @code{extern inline} extension in GNU C90@. In C++, emit any and all
12907 inline functions into the object file.
12909 @opindex fkeep-static-functions
12910 @item -fkeep-static-functions
12911 Emit @code{static} functions into the object file, even if the function
12914 @opindex fkeep-static-consts
12915 @item -fkeep-static-consts
12916 Emit variables declared @code{static const} when optimization isn't turned
12917 on, even if the variables aren't referenced.
12919 GCC enables this option by default. If you want to force the compiler to
12920 check if a variable is referenced, regardless of whether or not
12921 optimization is turned on, use the @option{-fno-keep-static-consts} option.
12923 @opindex fmerge-constants
12924 @item -fmerge-constants
12925 Attempt to merge identical constants (string constants and floating-point
12926 constants) across compilation units.
12928 This option is the default for optimized compilation if the assembler and
12929 linker support it. Use @option{-fno-merge-constants} to inhibit this
12932 Enabled at levels @option{-O1}, @option{-O2}, @option{-O3}, @option{-Os}.
12934 @opindex fmerge-all-constants
12935 @item -fmerge-all-constants
12936 Attempt to merge identical constants and identical variables.
12938 This option implies @option{-fmerge-constants}. In addition to
12939 @option{-fmerge-constants} this considers e.g.@: even constant initialized
12940 arrays or initialized constant variables with integral or floating-point
12941 types. Languages like C or C++ require each variable, including multiple
12942 instances of the same variable in recursive calls, to have distinct locations,
12943 so using this option results in non-conforming
12946 @opindex fmodulo-sched
12947 @item -fmodulo-sched
12948 Perform swing modulo scheduling immediately before the first scheduling
12949 pass. This pass looks at innermost loops and reorders their
12950 instructions by overlapping different iterations.
12952 @opindex fmodulo-sched-allow-regmoves
12953 @item -fmodulo-sched-allow-regmoves
12954 Perform more aggressive SMS-based modulo scheduling with register moves
12955 allowed. By setting this flag certain anti-dependences edges are
12956 deleted, which triggers the generation of reg-moves based on the
12957 life-range analysis. This option is effective only with
12958 @option{-fmodulo-sched} enabled.
12960 @opindex fno-branch-count-reg
12961 @opindex fbranch-count-reg
12962 @item -fno-branch-count-reg
12963 Disable the optimization pass that scans for opportunities to use
12964 ``decrement and branch'' instructions on a count register instead of
12965 instruction sequences that decrement a register, compare it against zero, and
12966 then branch based upon the result. This option is only meaningful on
12967 architectures that support such instructions, which include x86, PowerPC,
12968 IA-64 and S/390. Note that the @option{-fno-branch-count-reg} option
12969 doesn't remove the decrement and branch instructions from the generated
12970 instruction stream introduced by other optimization passes.
12972 The default is @option{-fbranch-count-reg} at @option{-O1} and higher,
12973 except for @option{-Og}.
12975 @opindex fno-function-cse
12976 @opindex ffunction-cse
12977 @item -fno-function-cse
12978 Do not put function addresses in registers; make each instruction that
12979 calls a constant function contain the function's address explicitly.
12981 This option results in less efficient code, but some strange hacks
12982 that alter the assembler output may be confused by the optimizations
12983 performed when this option is not used.
12985 The default is @option{-ffunction-cse}
12987 @opindex fno-zero-initialized-in-bss
12988 @opindex fzero-initialized-in-bss
12989 @item -fno-zero-initialized-in-bss
12990 If the target supports a BSS section, GCC by default puts variables that
12991 are initialized to zero into BSS@. This can save space in the resulting
12994 This option turns off this behavior because some programs explicitly
12995 rely on variables going to the data section---e.g., so that the
12996 resulting executable can find the beginning of that section and/or make
12997 assumptions based on that.
12999 The default is @option{-fzero-initialized-in-bss}.
13001 @opindex fthread-jumps
13002 @item -fthread-jumps
13003 Perform optimizations that check to see if a jump branches to a
13004 location where another comparison subsumed by the first is found. If
13005 so, the first branch is redirected to either the destination of the
13006 second branch or a point immediately following it, depending on whether
13007 the condition is known to be true or false.
13009 Enabled at levels @option{-O1}, @option{-O2}, @option{-O3}, @option{-Os}.
13011 @opindex fsplit-wide-types
13012 @item -fsplit-wide-types
13013 When using a type that occupies multiple registers, such as @code{long
13014 long} on a 32-bit system, split the registers apart and allocate them
13015 independently. This normally generates better code for those types,
13016 but may make debugging more difficult.
13018 Enabled at levels @option{-O1}, @option{-O2}, @option{-O3},
13021 @opindex fsplit-wide-types-early
13022 @item -fsplit-wide-types-early
13023 Fully split wide types early, instead of very late.
13024 This option has no effect unless @option{-fsplit-wide-types} is turned on.
13026 This is the default on some targets.
13028 @opindex fcse-follow-jumps
13029 @item -fcse-follow-jumps
13030 In common subexpression elimination (CSE), scan through jump instructions
13031 when the target of the jump is not reached by any other path. For
13032 example, when CSE encounters an @code{if} statement with an
13033 @code{else} clause, CSE follows the jump when the condition
13036 Enabled at levels @option{-O2}, @option{-O3}, @option{-Os}.
13038 @opindex fcse-skip-blocks
13039 @item -fcse-skip-blocks
13040 This is similar to @option{-fcse-follow-jumps}, but causes CSE to
13041 follow jumps that conditionally skip over blocks. When CSE
13042 encounters a simple @code{if} statement with no else clause,
13043 @option{-fcse-skip-blocks} causes CSE to follow the jump around the
13044 body of the @code{if}.
13046 Enabled at levels @option{-O2}, @option{-O3}, @option{-Os}.
13048 @opindex frerun-cse-after-loop
13049 @item -frerun-cse-after-loop
13050 Re-run common subexpression elimination after loop optimizations are
13053 Enabled at levels @option{-O2}, @option{-O3}, @option{-Os}.
13057 Perform a global common subexpression elimination pass.
13058 This pass also performs global constant and copy propagation.
13060 @emph{Note:} When compiling a program using computed gotos, a GCC
13061 extension, you may get better run-time performance if you disable
13062 the global common subexpression elimination pass by adding
13063 @option{-fno-gcse} to the command line.
13065 Enabled at levels @option{-O2}, @option{-O3}, @option{-Os}.
13069 When @option{-fgcse-lm} is enabled, global common subexpression elimination
13070 attempts to move loads that are only killed by stores into themselves. This
13071 allows a loop containing a load/store sequence to be changed to a load outside
13072 the loop, and a copy/store within the loop.
13074 Enabled by default when @option{-fgcse} is enabled.
13078 When @option{-fgcse-sm} is enabled, a store motion pass is run after
13079 global common subexpression elimination. This pass attempts to move
13080 stores out of loops. When used in conjunction with @option{-fgcse-lm},
13081 loops containing a load/store sequence can be changed to a load before
13082 the loop and a store after the loop.
13084 Not enabled at any optimization level.
13088 When @option{-fgcse-las} is enabled, the global common subexpression
13089 elimination pass eliminates redundant loads that come after stores to the
13090 same memory location (both partial and full redundancies).
13092 Not enabled at any optimization level.
13094 @opindex fgcse-after-reload
13095 @item -fgcse-after-reload
13096 When @option{-fgcse-after-reload} is enabled, a redundant load elimination
13097 pass is performed after reload. The purpose of this pass is to clean up
13098 redundant spilling.
13100 Enabled by @option{-O3}, @option{-fprofile-use} and @option{-fauto-profile}.
13102 @opindex faggressive-loop-optimizations
13103 @item -faggressive-loop-optimizations
13104 This option tells the loop optimizer to use language constraints to
13105 derive bounds for the number of iterations of a loop. This assumes that
13106 loop code does not invoke undefined behavior by for example causing signed
13107 integer overflows or out-of-bound array accesses. The bounds for the
13108 number of iterations of a loop are used to guide loop unrolling and peeling
13109 and loop exit test optimizations.
13110 This option is enabled by default.
13112 @opindex funconstrained-commons
13113 @item -funconstrained-commons
13114 This option tells the compiler that variables declared in common blocks
13115 (e.g.@: Fortran) may later be overridden with longer trailing arrays. This
13116 prevents certain optimizations that depend on knowing the array bounds.
13118 @opindex fcrossjumping
13119 @item -fcrossjumping
13120 Perform cross-jumping transformation.
13121 This transformation unifies equivalent code and saves code size. The
13122 resulting code may or may not perform better than without cross-jumping.
13124 Enabled at levels @option{-O2}, @option{-O3}, @option{-Os}.
13126 @opindex fauto-inc-dec
13127 @item -fauto-inc-dec
13128 Combine increments or decrements of addresses with memory accesses.
13129 This pass is always skipped on architectures that do not have
13130 instructions to support this. Enabled by default at @option{-O1} and
13131 higher on architectures that support this.
13135 Perform dead code elimination (DCE) on RTL@.
13136 Enabled by default at @option{-O1} and higher.
13140 Perform dead store elimination (DSE) on RTL@.
13141 Enabled by default at @option{-O1} and higher.
13143 @opindex fif-conversion
13144 @item -fif-conversion
13145 Attempt to transform conditional jumps into branch-less equivalents. This
13146 includes use of conditional moves, min, max, set flags and abs instructions, and
13147 some tricks doable by standard arithmetics. The use of conditional execution
13148 on chips where it is available is controlled by @option{-fif-conversion2}.
13150 Enabled at levels @option{-O1}, @option{-O2}, @option{-O3}, @option{-Os}, but
13151 not with @option{-Og}.
13153 @opindex fif-conversion2
13154 @item -fif-conversion2
13155 Use conditional execution (where available) to transform conditional jumps into
13156 branch-less equivalents.
13158 Enabled at levels @option{-O1}, @option{-O2}, @option{-O3}, @option{-Os}, but
13159 not with @option{-Og}.
13161 @opindex fdeclone-ctor-dtor
13162 @item -fdeclone-ctor-dtor
13163 The C++ ABI requires multiple entry points for constructors and
13164 destructors: one for a base subobject, one for a complete object, and
13165 one for a virtual destructor that calls operator delete afterwards.
13166 For a hierarchy with virtual bases, the base and complete variants are
13167 clones, which means two copies of the function. With this option, the
13168 base and complete variants are changed to be thunks that call a common
13171 Enabled by @option{-Os}.
13173 @opindex fdelete-null-pointer-checks
13174 @item -fdelete-null-pointer-checks
13175 Assume that programs cannot safely dereference null pointers, and that
13176 no code or data element resides at address zero.
13177 This option enables simple constant
13178 folding optimizations at all optimization levels. In addition, other
13179 optimization passes in GCC use this flag to control global dataflow
13180 analyses that eliminate useless checks for null pointers; these assume
13181 that a memory access to address zero always results in a trap, so
13182 that if a pointer is checked after it has already been dereferenced,
13185 Note however that in some environments this assumption is not true.
13186 Use @option{-fno-delete-null-pointer-checks} to disable this optimization
13187 for programs that depend on that behavior.
13189 This option is enabled by default on most targets. On Nios II ELF, it
13190 defaults to off. On AVR and MSP430, this option is completely disabled.
13192 Passes that use the dataflow information
13193 are enabled independently at different optimization levels.
13195 @opindex fdevirtualize
13196 @item -fdevirtualize
13197 Attempt to convert calls to virtual functions to direct calls. This
13198 is done both within a procedure and interprocedurally as part of
13199 indirect inlining (@option{-findirect-inlining}) and interprocedural constant
13200 propagation (@option{-fipa-cp}).
13201 Enabled at levels @option{-O2}, @option{-O3}, @option{-Os}.
13203 @opindex fdevirtualize-speculatively
13204 @item -fdevirtualize-speculatively
13205 Attempt to convert calls to virtual functions to speculative direct calls.
13206 Based on the analysis of the type inheritance graph, determine for a given call
13207 the set of likely targets. If the set is small, preferably of size 1, change
13208 the call into a conditional deciding between direct and indirect calls. The
13209 speculative calls enable more optimizations, such as inlining. When they seem
13210 useless after further optimization, they are converted back into original form.
13212 @opindex fdevirtualize-at-ltrans
13213 @item -fdevirtualize-at-ltrans
13214 Stream extra information needed for aggressive devirtualization when running
13215 the link-time optimizer in local transformation mode.
13216 This option enables more devirtualization but
13217 significantly increases the size of streamed data. For this reason it is
13218 disabled by default.
13220 @opindex fexpensive-optimizations
13221 @item -fexpensive-optimizations
13222 Perform a number of minor optimizations that are relatively expensive.
13224 Enabled at levels @option{-O2}, @option{-O3}, @option{-Os}.
13228 Attempt to remove redundant extension instructions. This is especially
13229 helpful for the x86-64 architecture, which implicitly zero-extends in 64-bit
13230 registers after writing to their lower 32-bit half.
13232 Enabled for Alpha, AArch64, LoongArch, PowerPC, RISC-V, SPARC, h83000 and x86 at
13233 levels @option{-O2}, @option{-O3}, @option{-Os}.
13235 @opindex fno-lifetime-dse
13236 @opindex flifetime-dse
13237 @item -fno-lifetime-dse
13238 In C++ the value of an object is only affected by changes within its
13239 lifetime: when the constructor begins, the object has an indeterminate
13240 value, and any changes during the lifetime of the object are dead when
13241 the object is destroyed. Normally dead store elimination will take
13242 advantage of this; if your code relies on the value of the object
13243 storage persisting beyond the lifetime of the object, you can use this
13244 flag to disable this optimization. To preserve stores before the
13245 constructor starts (e.g.@: because your operator new clears the object
13246 storage) but still treat the object as dead after the destructor, you
13247 can use @option{-flifetime-dse=1}. The default behavior can be
13248 explicitly selected with @option{-flifetime-dse=2}.
13249 @option{-flifetime-dse=0} is equivalent to @option{-fno-lifetime-dse}.
13251 @opindex flive-range-shrinkage
13252 @item -flive-range-shrinkage
13253 Attempt to decrease register pressure through register live range
13254 shrinkage. This is helpful for fast processors with small or moderate
13255 size register sets.
13257 @opindex fira-algorithm
13258 @item -fira-algorithm=@var{algorithm}
13259 Use the specified coloring algorithm for the integrated register
13260 allocator. The @var{algorithm} argument can be @samp{priority}, which
13261 specifies Chow's priority coloring, or @samp{CB}, which specifies
13262 Chaitin-Briggs coloring. Chaitin-Briggs coloring is not implemented
13263 for all architectures, but for those targets that do support it, it is
13264 the default because it generates better code.
13266 @opindex fira-region
13267 @item -fira-region=@var{region}
13268 Use specified regions for the integrated register allocator. The
13269 @var{region} argument should be one of the following:
13274 Use all loops as register allocation regions.
13275 This can give the best results for machines with a small and/or
13276 irregular register set.
13279 Use all loops except for loops with small register pressure
13280 as the regions. This value usually gives
13281 the best results in most cases and for most architectures,
13282 and is enabled by default when compiling with optimization for speed
13283 (@option{-O}, @option{-O2}, @dots{}).
13286 Use all functions as a single region.
13287 This typically results in the smallest code size, and is enabled by default for
13288 @option{-Os} or @option{-O0}.
13292 @opindex fira-hoist-pressure
13293 @item -fira-hoist-pressure
13294 Use IRA to evaluate register pressure in the code hoisting pass for
13295 decisions to hoist expressions. This option usually results in smaller
13296 code, but it can slow the compiler down.
13298 This option is enabled at level @option{-Os} for all targets.
13300 @opindex fira-loop-pressure
13301 @item -fira-loop-pressure
13302 Use IRA to evaluate register pressure in loops for decisions to move
13303 loop invariants. This option usually results in generation
13304 of faster and smaller code on machines with large register files (>= 32
13305 registers), but it can slow the compiler down.
13307 This option is enabled at level @option{-O3} for some targets.
13309 @opindex fno-ira-share-save-slots
13310 @opindex fira-share-save-slots
13311 @item -fno-ira-share-save-slots
13312 Disable sharing of stack slots used for saving call-used hard
13313 registers living through a call. Each hard register gets a
13314 separate stack slot, and as a result function stack frames are
13317 @opindex fno-ira-share-spill-slots
13318 @opindex fira-share-spill-slots
13319 @item -fno-ira-share-spill-slots
13320 Disable sharing of stack slots allocated for pseudo-registers. Each
13321 pseudo-register that does not get a hard register gets a separate
13322 stack slot, and as a result function stack frames are larger.
13324 @opindex flra-remat
13326 Enable CFG-sensitive rematerialization in LRA. Instead of loading
13327 values of spilled pseudos, LRA tries to rematerialize (recalculate)
13328 values if it is profitable.
13330 Enabled at levels @option{-O2}, @option{-O3}, @option{-Os}.
13332 @opindex fdelayed-branch
13333 @item -fdelayed-branch
13334 If supported for the target machine, attempt to reorder instructions
13335 to exploit instruction slots available after delayed branch
13338 Enabled at levels @option{-O1}, @option{-O2}, @option{-O3}, @option{-Os},
13339 but not at @option{-Og}.
13341 @opindex fschedule-insns
13342 @item -fschedule-insns
13343 If supported for the target machine, attempt to reorder instructions to
13344 eliminate execution stalls due to required data being unavailable. This
13345 helps machines that have slow floating point or memory load instructions
13346 by allowing other instructions to be issued until the result of the load
13347 or floating-point instruction is required.
13349 Enabled at levels @option{-O2}, @option{-O3}.
13351 @opindex fschedule-insns2
13352 @item -fschedule-insns2
13353 Similar to @option{-fschedule-insns}, but requests an additional pass of
13354 instruction scheduling after register allocation has been done. This is
13355 especially useful on machines with a relatively small number of
13356 registers and where memory load instructions take more than one cycle.
13358 Enabled at levels @option{-O2}, @option{-O3}, @option{-Os}.
13360 @opindex fno-sched-interblock
13361 @opindex fsched-interblock
13362 @item -fno-sched-interblock
13363 Disable instruction scheduling across basic blocks, which
13364 is normally enabled when scheduling before register allocation, i.e.@:
13365 with @option{-fschedule-insns} or at @option{-O2} or higher.
13367 @opindex fno-sched-spec
13368 @opindex fsched-spec
13369 @item -fno-sched-spec
13370 Disable speculative motion of non-load instructions, which
13371 is normally enabled when scheduling before register allocation, i.e.@:
13372 with @option{-fschedule-insns} or at @option{-O2} or higher.
13374 @opindex fsched-pressure
13375 @item -fsched-pressure
13376 Enable register pressure sensitive insn scheduling before register
13377 allocation. This only makes sense when scheduling before register
13378 allocation is enabled, i.e.@: with @option{-fschedule-insns} or at
13379 @option{-O2} or higher. Usage of this option can improve the
13380 generated code and decrease its size by preventing register pressure
13381 increase above the number of available hard registers and subsequent
13382 spills in register allocation.
13384 @opindex fsched-spec-load
13385 @item -fsched-spec-load
13386 Allow speculative motion of some load instructions. This only makes
13387 sense when scheduling before register allocation, i.e.@: with
13388 @option{-fschedule-insns} or at @option{-O2} or higher.
13390 @opindex fsched-spec-load-dangerous
13391 @item -fsched-spec-load-dangerous
13392 Allow speculative motion of more load instructions. This only makes
13393 sense when scheduling before register allocation, i.e.@: with
13394 @option{-fschedule-insns} or at @option{-O2} or higher.
13396 @opindex fsched-stalled-insns
13397 @item -fsched-stalled-insns
13398 @itemx -fsched-stalled-insns=@var{n}
13399 Define how many insns (if any) can be moved prematurely from the queue
13400 of stalled insns into the ready list during the second scheduling pass.
13401 @option{-fno-sched-stalled-insns} means that no insns are moved
13402 prematurely, @option{-fsched-stalled-insns=0} means there is no limit
13403 on how many queued insns can be moved prematurely.
13404 @option{-fsched-stalled-insns} without a value is equivalent to
13405 @option{-fsched-stalled-insns=1}.
13407 @opindex fsched-stalled-insns-dep
13408 @item -fsched-stalled-insns-dep
13409 @itemx -fsched-stalled-insns-dep=@var{n}
13410 Define how many insn groups (cycles) are examined for a dependency
13411 on a stalled insn that is a candidate for premature removal from the queue
13412 of stalled insns. This has an effect only during the second scheduling pass,
13413 and only if @option{-fsched-stalled-insns} is used.
13414 @option{-fno-sched-stalled-insns-dep} is equivalent to
13415 @option{-fsched-stalled-insns-dep=0}.
13416 @option{-fsched-stalled-insns-dep} without a value is equivalent to
13417 @option{-fsched-stalled-insns-dep=1}.
13419 @opindex fsched2-use-superblocks
13420 @item -fsched2-use-superblocks
13421 When scheduling after register allocation, use superblock scheduling.
13422 This allows motion across basic block boundaries,
13423 resulting in faster schedules. This option is experimental, as not all machine
13424 descriptions used by GCC model the CPU closely enough to avoid unreliable
13425 results from the algorithm.
13427 This only makes sense when scheduling after register allocation, i.e.@: with
13428 @option{-fschedule-insns2} or at @option{-O2} or higher.
13430 @opindex fsched-group-heuristic
13431 @item -fsched-group-heuristic
13432 Enable the group heuristic in the scheduler. This heuristic favors
13433 the instruction that belongs to a schedule group. This is enabled
13434 by default when scheduling is enabled, i.e.@: with @option{-fschedule-insns}
13435 or @option{-fschedule-insns2} or at @option{-O2} or higher.
13437 @opindex fsched-critical-path-heuristic
13438 @item -fsched-critical-path-heuristic
13439 Enable the critical-path heuristic in the scheduler. This heuristic favors
13440 instructions on the critical path. This is enabled by default when
13441 scheduling is enabled, i.e.@: with @option{-fschedule-insns}
13442 or @option{-fschedule-insns2} or at @option{-O2} or higher.
13444 @opindex fsched-spec-insn-heuristic
13445 @item -fsched-spec-insn-heuristic
13446 Enable the speculative instruction heuristic in the scheduler. This
13447 heuristic favors speculative instructions with greater dependency weakness.
13448 This is enabled by default when scheduling is enabled, i.e.@:
13449 with @option{-fschedule-insns} or @option{-fschedule-insns2}
13450 or at @option{-O2} or higher.
13452 @opindex fsched-rank-heuristic
13453 @item -fsched-rank-heuristic
13454 Enable the rank heuristic in the scheduler. This heuristic favors
13455 the instruction belonging to a basic block with greater size or frequency.
13456 This is enabled by default when scheduling is enabled, i.e.@:
13457 with @option{-fschedule-insns} or @option{-fschedule-insns2} or
13458 at @option{-O2} or higher.
13460 @opindex fsched-last-insn-heuristic
13461 @item -fsched-last-insn-heuristic
13462 Enable the last-instruction heuristic in the scheduler. This heuristic
13463 favors the instruction that is less dependent on the last instruction
13464 scheduled. This is enabled by default when scheduling is enabled,
13465 i.e.@: with @option{-fschedule-insns} or @option{-fschedule-insns2} or
13466 at @option{-O2} or higher.
13468 @opindex fsched-dep-count-heuristic
13469 @item -fsched-dep-count-heuristic
13470 Enable the dependent-count heuristic in the scheduler. This heuristic
13471 favors the instruction that has more instructions depending on it.
13472 This is enabled by default when scheduling is enabled, i.e.@:
13473 with @option{-fschedule-insns} or @option{-fschedule-insns2} or
13474 at @option{-O2} or higher.
13476 @opindex freschedule-modulo-scheduled-loops
13477 @item -freschedule-modulo-scheduled-loops
13478 Modulo scheduling is performed before traditional scheduling. If a loop
13479 is modulo scheduled, later scheduling passes may change its schedule.
13480 Use this option to control that behavior.
13482 @opindex fselective-scheduling
13483 @item -fselective-scheduling
13484 Schedule instructions using selective scheduling algorithm. Selective
13485 scheduling runs instead of the first scheduler pass.
13487 @opindex fselective-scheduling2
13488 @item -fselective-scheduling2
13489 Schedule instructions using selective scheduling algorithm. Selective
13490 scheduling runs instead of the second scheduler pass.
13492 @opindex fsel-sched-pipelining
13493 @item -fsel-sched-pipelining
13494 Enable software pipelining of innermost loops during selective scheduling.
13495 This option has no effect unless one of @option{-fselective-scheduling} or
13496 @option{-fselective-scheduling2} is turned on.
13498 @opindex fsel-sched-pipelining-outer-loops
13499 @item -fsel-sched-pipelining-outer-loops
13500 When pipelining loops during selective scheduling, also pipeline outer loops.
13501 This option has no effect unless @option{-fsel-sched-pipelining} is turned on.
13503 @opindex fsemantic-interposition
13504 @item -fsemantic-interposition
13505 Some object formats, like ELF, allow interposing of symbols by the
13507 This means that for symbols exported from the DSO, the compiler cannot perform
13508 interprocedural propagation, inlining and other optimizations in anticipation
13509 that the function or variable in question may change. While this feature is
13510 useful, for example, to rewrite memory allocation functions by a debugging
13511 implementation, it is expensive in the terms of code quality.
13512 With @option{-fno-semantic-interposition} the compiler assumes that
13513 if interposition happens for functions the overwriting function will have
13514 precisely the same semantics (and side effects).
13515 Similarly if interposition happens
13516 for variables, the constructor of the variable will be the same. The flag
13517 has no effect for functions explicitly declared inline
13518 (where it is never allowed for interposition to change semantics)
13519 and for symbols explicitly declared weak.
13521 @opindex fshrink-wrap
13522 @item -fshrink-wrap
13523 Emit function prologues only before parts of the function that need it,
13524 rather than at the top of the function. This flag is enabled by default at
13525 @option{-O} and higher.
13527 @opindex fshrink-wrap-separate
13528 @item -fshrink-wrap-separate
13529 Shrink-wrap separate parts of the prologue and epilogue separately, so that
13530 those parts are only executed when needed.
13531 This option is on by default, but has no effect unless @option{-fshrink-wrap}
13532 is also turned on and the target supports this.
13534 @opindex fcaller-saves
13535 @item -fcaller-saves
13536 Enable allocation of values to registers that are clobbered by
13537 function calls, by emitting extra instructions to save and restore the
13538 registers around such calls. Such allocation is done only when it
13539 seems to result in better code.
13541 This option is always enabled by default on certain machines, usually
13542 those which have no call-preserved registers to use instead.
13544 Enabled at levels @option{-O2}, @option{-O3}, @option{-Os}.
13546 @opindex fcombine-stack-adjustments
13547 @item -fcombine-stack-adjustments
13548 Tracks stack adjustments (pushes and pops) and stack memory references
13549 and then tries to find ways to combine them.
13551 Enabled by default at @option{-O1} and higher.
13555 Use caller save registers for allocation if those registers are not used by
13556 any called function. In that case it is not necessary to save and restore
13557 them around calls. This is only possible if called functions are part of
13558 same compilation unit as current function and they are compiled before it.
13560 Enabled at levels @option{-O2}, @option{-O3}, @option{-Os}, however the option
13561 is disabled if generated code will be instrumented for profiling
13562 (@option{-p}, or @option{-pg}) or if callee's register usage cannot be known
13563 exactly (this happens on targets that do not expose prologues
13564 and epilogues in RTL).
13566 @opindex fconserve-stack
13567 @item -fconserve-stack
13568 Attempt to minimize stack usage. The compiler attempts to use less
13569 stack space, even if that makes the program slower. This option
13570 implies setting the @option{large-stack-frame} parameter to 100
13571 and the @option{large-stack-frame-growth} parameter to 400.
13573 @opindex ftree-reassoc
13574 @item -ftree-reassoc
13575 Perform reassociation on trees. This flag is enabled by default
13576 at @option{-O1} and higher.
13578 @opindex fcode-hoisting
13579 @item -fcode-hoisting
13580 Perform code hoisting. Code hoisting tries to move the
13581 evaluation of expressions executed on all paths to the function exit
13582 as early as possible. This is especially useful as a code size
13583 optimization, but it often helps for code speed as well.
13584 This flag is enabled by default at @option{-O2} and higher.
13588 Perform partial redundancy elimination (PRE) on trees. This flag is
13589 enabled by default at @option{-O2} and @option{-O3}.
13591 @opindex ftree-partial-pre
13592 @item -ftree-partial-pre
13593 Make partial redundancy elimination (PRE) more aggressive. This flag is
13594 enabled by default at @option{-O3}.
13596 @opindex ftree-forwprop
13597 @item -ftree-forwprop
13598 Perform forward propagation on trees. This flag is enabled by default
13599 at @option{-O1} and higher.
13603 Perform full redundancy elimination (FRE) on trees. The difference
13604 between FRE and PRE is that FRE only considers expressions
13605 that are computed on all paths leading to the redundant computation.
13606 This analysis is faster than PRE, though it exposes fewer redundancies.
13607 This flag is enabled by default at @option{-O1} and higher.
13609 @opindex ftree-phiprop
13610 @item -ftree-phiprop
13611 Perform hoisting of loads from conditional pointers on trees. This
13612 pass is enabled by default at @option{-O1} and higher.
13614 @opindex fhoist-adjacent-loads
13615 @item -fhoist-adjacent-loads
13616 Speculatively hoist loads from both branches of an if-then-else if the
13617 loads are from adjacent locations in the same structure and the target
13618 architecture has a conditional move instruction. This flag is enabled
13619 by default at @option{-O2} and higher.
13621 @opindex ftree-copy-prop
13622 @item -ftree-copy-prop
13623 Perform copy propagation on trees. This pass eliminates unnecessary
13624 copy operations. This flag is enabled by default at @option{-O1} and
13627 @opindex fipa-pure-const
13628 @item -fipa-pure-const
13629 Discover which functions are pure or constant.
13630 Enabled by default at @option{-O1} and higher.
13632 @opindex fipa-reference
13633 @item -fipa-reference
13634 Discover which static variables do not escape the
13636 Enabled by default at @option{-O1} and higher.
13638 @opindex fipa-reference-addressable
13639 @item -fipa-reference-addressable
13640 Discover read-only, write-only and non-addressable static variables.
13641 Enabled by default at @option{-O1} and higher.
13643 @opindex fipa-stack-alignment
13644 @item -fipa-stack-alignment
13645 Reduce stack alignment on call sites if possible.
13646 Enabled by default.
13650 Perform interprocedural pointer analysis and interprocedural modification
13651 and reference analysis. This option can cause excessive memory and
13652 compile-time usage on large compilation units. It is not enabled by
13653 default at any optimization level.
13655 @opindex fipa-profile
13656 @item -fipa-profile
13657 Perform interprocedural profile propagation. The functions called only from
13658 cold functions are marked as cold. Also functions executed once (such as
13659 @code{cold}, @code{noreturn}, static constructors or destructors) are
13660 identified. Cold functions and loop less parts of functions executed once are
13661 then optimized for size.
13662 Enabled by default at @option{-O1} and higher.
13664 @opindex fipa-modref
13666 Perform interprocedural mod/ref analysis. This optimization analyzes the side
13667 effects of functions (memory locations that are modified or referenced) and
13668 enables better optimization across the function call boundary. This flag is
13669 enabled by default at @option{-O1} and higher.
13673 Perform interprocedural constant propagation.
13674 This optimization analyzes the program to determine when values passed
13675 to functions are constants and then optimizes accordingly.
13676 This optimization can substantially increase performance
13677 if the application has constants passed to functions.
13678 This flag is enabled by default at @option{-O2}, @option{-Os} and @option{-O3}.
13679 It is also enabled by @option{-fprofile-use} and @option{-fauto-profile}.
13681 @opindex fipa-cp-clone
13682 @item -fipa-cp-clone
13683 Perform function cloning to make interprocedural constant propagation stronger.
13684 When enabled, interprocedural constant propagation performs function cloning
13685 when externally visible function can be called with constant arguments.
13686 Because this optimization can create multiple copies of functions,
13687 it may significantly increase code size
13688 (see @option{--param ipa-cp-unit-growth=@var{value}}).
13689 This flag is enabled by default at @option{-O3}.
13690 It is also enabled by @option{-fprofile-use} and @option{-fauto-profile}.
13692 @opindex fipa-bit-cp
13694 When enabled, perform interprocedural bitwise constant
13695 propagation. This flag is enabled by default at @option{-O2} and
13696 by @option{-fprofile-use} and @option{-fauto-profile}.
13697 It requires that @option{-fipa-cp} is enabled.
13701 When enabled, perform interprocedural propagation of value
13702 ranges. This flag is enabled by default at @option{-O2}. It requires
13703 that @option{-fipa-cp} is enabled.
13707 Perform Identical Code Folding for functions and read-only variables.
13708 The optimization reduces code size and may disturb unwind stacks by replacing
13709 a function by equivalent one with a different name. The optimization works
13710 more effectively with link-time optimization enabled.
13712 Although the behavior is similar to the Gold Linker's ICF optimization, GCC ICF
13713 works on different levels and thus the optimizations are not same - there are
13714 equivalences that are found only by GCC and equivalences found only by Gold.
13716 This flag is enabled by default at @option{-O2} and @option{-Os}.
13718 @opindex flate-combine-instructions
13719 @item -flate-combine-instructions
13720 Enable two instruction combination passes that run relatively late in the
13721 compilation process. One of the passes runs before register allocation and
13722 the other after register allocation. The main aim of the passes is to
13723 substitute definitions into all uses.
13725 Most targets enable this flag by default at @option{-O2} and @option{-Os}.
13727 @opindex flive-patching
13728 @item -flive-patching=@var{level}
13729 Control GCC's optimizations to produce output suitable for live-patching.
13731 If the compiler's optimization uses a function's body or information extracted
13732 from its body to optimize/change another function, the latter is called an
13733 impacted function of the former. If a function is patched, its impacted
13734 functions should be patched too.
13736 The impacted functions are determined by the compiler's interprocedural
13737 optimizations. For example, a caller is impacted when inlining a function
13739 cloning a function and changing its caller to call this new clone,
13740 or extracting a function's pureness/constness information to optimize
13741 its direct or indirect callers, etc.
13743 Usually, the more IPA optimizations enabled, the larger the number of
13744 impacted functions for each function. In order to control the number of
13745 impacted functions and more easily compute the list of impacted function,
13746 IPA optimizations can be partially enabled at two different levels.
13748 The @var{level} argument should be one of the following:
13754 Only enable inlining and cloning optimizations, which includes inlining,
13755 cloning, interprocedural scalar replacement of aggregates and partial inlining.
13756 As a result, when patching a function, all its callers and its clones'
13757 callers are impacted, therefore need to be patched as well.
13759 @option{-flive-patching=inline-clone} disables the following optimization flags:
13760 @gccoptlist{-fwhole-program -fipa-pta -fipa-reference -fipa-ra
13761 -fipa-icf -fipa-icf-functions -fipa-icf-variables
13762 -fipa-bit-cp -fipa-vrp -fipa-pure-const
13763 -fipa-reference-addressable
13764 -fipa-stack-alignment -fipa-modref}
13766 @item inline-only-static
13768 Only enable inlining of static functions.
13769 As a result, when patching a static function, all its callers are impacted
13770 and so need to be patched as well.
13772 In addition to all the flags that @option{-flive-patching=inline-clone}
13774 @option{-flive-patching=inline-only-static} disables the following additional
13775 optimization flags:
13776 @gccoptlist{-fipa-cp-clone -fipa-sra -fpartial-inlining -fipa-cp}
13780 When @option{-flive-patching} is specified without any value, the default value
13781 is @var{inline-clone}.
13783 This flag is disabled by default.
13785 Note that @option{-flive-patching} is not supported with link-time optimization
13788 @opindex fisolate-erroneous-paths-dereference
13789 @item -fisolate-erroneous-paths-dereference
13790 Detect paths that trigger erroneous or undefined behavior due to
13791 dereferencing a null pointer. Isolate those paths from the main control
13792 flow and turn the statement with erroneous or undefined behavior into a trap.
13793 This flag is enabled by default at @option{-O2} and higher and depends on
13794 @option{-fdelete-null-pointer-checks} also being enabled.
13796 @opindex fisolate-erroneous-paths-attribute
13797 @item -fisolate-erroneous-paths-attribute
13798 Detect paths that trigger erroneous or undefined behavior due to a null value
13799 being used in a way forbidden by a @code{returns_nonnull} or @code{nonnull}
13800 attribute. Isolate those paths from the main control flow and turn the
13801 statement with erroneous or undefined behavior into a trap. This is not
13802 currently enabled, but may be enabled by @option{-O2} in the future.
13804 @opindex ftree-sink
13806 Perform forward store motion on trees. This flag is
13807 enabled by default at @option{-O1} and higher.
13809 @opindex ftree-bit-ccp
13810 @item -ftree-bit-ccp
13811 Perform sparse conditional bit constant propagation on trees and propagate
13812 pointer alignment information.
13813 This pass only operates on local scalar variables and is enabled by default
13814 at @option{-O1} and higher, except for @option{-Og}.
13815 It requires that @option{-ftree-ccp} is enabled.
13819 Perform sparse conditional constant propagation (CCP) on trees. This
13820 pass only operates on local scalar variables and is enabled by default
13821 at @option{-O1} and higher.
13823 @opindex fssa-backprop
13824 @item -fssa-backprop
13825 Propagate information about uses of a value up the definition chain
13826 in order to simplify the definitions. For example, this pass strips
13827 sign operations if the sign of a value never matters. The flag is
13828 enabled by default at @option{-O1} and higher.
13830 @opindex fssa-phiopt
13832 Perform pattern matching on SSA PHI nodes to optimize conditional
13833 code. This pass is enabled by default at @option{-O1} and higher,
13834 except for @option{-Og}.
13836 @opindex ftree-switch-conversion
13837 @item -ftree-switch-conversion
13838 Perform conversion of simple initializations in a switch to
13839 initializations from a scalar array. This flag is enabled by default
13840 at @option{-O2} and higher.
13842 @opindex ftree-tail-merge
13843 @item -ftree-tail-merge
13844 Look for identical code sequences. When found, replace one with a jump to the
13845 other. This optimization is known as tail merging or cross jumping. This flag
13846 is enabled by default at @option{-O2} and higher. The compilation time
13848 be limited using @option{max-tail-merge-comparisons} parameter and
13849 @option{max-tail-merge-iterations} parameter.
13853 Perform dead code elimination (DCE) on trees. This flag is enabled by
13854 default at @option{-O1} and higher.
13856 @opindex ftree-builtin-call-dce
13857 @item -ftree-builtin-call-dce
13858 Perform conditional dead code elimination (DCE) for calls to built-in functions
13859 that may set @code{errno} but are otherwise free of side effects. This flag is
13860 enabled by default at @option{-O2} and higher if @option{-Os} is not also
13863 @opindex ffinite-loops
13864 @opindex fno-finite-loops
13865 @item -ffinite-loops
13866 Assume that a loop with an exit will eventually take the exit and not loop
13867 indefinitely. This allows the compiler to remove loops that otherwise have
13868 no side-effects, not considering eventual endless looping as such.
13870 This option is enabled by default at @option{-O2} for C++ with -std=c++11
13873 @opindex ftree-dominator-opts
13874 @item -ftree-dominator-opts
13875 Perform a variety of simple scalar cleanups (constant/copy
13876 propagation, redundancy elimination, range propagation and expression
13877 simplification) based on a dominator tree traversal. This also
13878 performs jump threading (to reduce jumps to jumps). This flag is
13879 enabled by default at @option{-O1} and higher.
13883 Perform dead store elimination (DSE) on trees. A dead store is a store into
13884 a memory location that is later overwritten by another store without
13885 any intervening loads. In this case the earlier store can be deleted. This
13886 flag is enabled by default at @option{-O1} and higher.
13890 Perform loop header copying on trees. This is beneficial since it increases
13891 effectiveness of code motion optimizations. It also saves one jump. This flag
13892 is enabled by default at @option{-O1} and higher. It is not enabled
13893 for @option{-Os}, since it usually increases code size.
13895 @opindex ftree-loop-optimize
13896 @item -ftree-loop-optimize
13897 Perform loop optimizations on trees. This flag is enabled by default
13898 at @option{-O1} and higher.
13900 @opindex ftree-loop-linear
13901 @opindex floop-strip-mine
13902 @opindex floop-block
13903 @item -ftree-loop-linear
13904 @itemx -floop-strip-mine
13905 @itemx -floop-block
13906 Perform loop nest optimizations. Same as
13907 @option{-floop-nest-optimize}. To use this code transformation, GCC has
13908 to be configured with @option{--with-isl} to enable the Graphite loop
13909 transformation infrastructure.
13911 @opindex fgraphite-identity
13912 @item -fgraphite-identity
13913 Enable the identity transformation for graphite. For every SCoP we generate
13914 the polyhedral representation and transform it back to gimple. Using
13915 @option{-fgraphite-identity} we can check the costs or benefits of the
13916 GIMPLE -> GRAPHITE -> GIMPLE transformation. Some minimal optimizations
13917 are also performed by the code generator isl, like index splitting and
13918 dead code elimination in loops.
13920 @opindex floop-nest-optimize
13921 @item -floop-nest-optimize
13922 Enable the isl based loop nest optimizer. This is a generic loop nest
13923 optimizer based on the Pluto optimization algorithms. It calculates a loop
13924 structure optimized for data-locality and parallelism. This option
13927 @opindex floop-parallelize-all
13928 @item -floop-parallelize-all
13929 Use the Graphite data dependence analysis to identify loops that can
13930 be parallelized. Parallelize all the loops that can be analyzed to
13931 not contain loop carried dependences without checking that it is
13932 profitable to parallelize the loops.
13934 @opindex ftree-coalesce-vars
13935 @item -ftree-coalesce-vars
13936 While transforming the program out of the SSA representation, attempt to
13937 reduce copying by coalescing versions of different user-defined
13938 variables, instead of just compiler temporaries. This may severely
13939 limit the ability to debug an optimized program compiled with
13940 @option{-fno-var-tracking-assignments}. In the negated form, this flag
13941 prevents SSA coalescing of user variables. This option is enabled by
13942 default if optimization is enabled, and it does very little otherwise.
13944 @opindex ftree-loop-if-convert
13945 @item -ftree-loop-if-convert
13946 Attempt to transform conditional jumps in the innermost loops to
13947 branch-less equivalents. The intent is to remove control-flow from
13948 the innermost loops in order to improve the ability of the
13949 vectorization pass to handle these loops. This is enabled by default
13950 if vectorization is enabled.
13952 @opindex ftree-loop-distribution
13953 @item -ftree-loop-distribution
13954 Perform loop distribution. This flag can improve cache performance on
13955 big loop bodies and allow further loop optimizations, like
13956 parallelization or vectorization, to take place. For example, the loop
13972 This flag is enabled by default at @option{-O3}.
13973 It is also enabled by @option{-fprofile-use} and @option{-fauto-profile}.
13975 @opindex ftree-loop-distribute-patterns
13976 @item -ftree-loop-distribute-patterns
13977 Perform loop distribution of patterns that can be code generated with
13978 calls to a library. This flag is enabled by default at @option{-O2} and
13979 higher, and by @option{-fprofile-use} and @option{-fauto-profile}.
13981 This pass distributes the initialization loops and generates a call to
13982 memset zero. For example, the loop
13998 and the initialization loop is transformed into a call to memset zero.
14000 @opindex floop-interchange
14001 @item -floop-interchange
14002 Perform loop interchange outside of graphite. This flag can improve cache
14003 performance on loop nest and allow further loop optimizations, like
14004 vectorization, to take place. For example, the loop
14006 for (int i = 0; i < N; i++)
14007 for (int j = 0; j < N; j++)
14008 for (int k = 0; k < N; k++)
14009 c[i][j] = c[i][j] + a[i][k]*b[k][j];
14013 for (int i = 0; i < N; i++)
14014 for (int k = 0; k < N; k++)
14015 for (int j = 0; j < N; j++)
14016 c[i][j] = c[i][j] + a[i][k]*b[k][j];
14018 This flag is enabled by default at @option{-O3}.
14019 It is also enabled by @option{-fprofile-use} and @option{-fauto-profile}.
14021 @opindex floop-unroll-and-jam
14022 @item -floop-unroll-and-jam
14023 Apply unroll and jam transformations on feasible loops. In a loop
14024 nest this unrolls the outer loop by some factor and fuses the resulting
14025 multiple inner loops. This flag is enabled by default at @option{-O3}.
14026 It is also enabled by @option{-fprofile-use} and @option{-fauto-profile}.
14028 @opindex ftree-loop-im
14029 @item -ftree-loop-im
14030 Perform loop invariant motion on trees. This pass moves only invariants that
14031 are hard to handle at RTL level (function calls, operations that expand to
14032 nontrivial sequences of insns). With @option{-funswitch-loops} it also moves
14033 operands of conditions that are invariant out of the loop, so that we can use
14034 just trivial invariantness analysis in loop unswitching. The pass also includes
14037 @opindex ftree-loop-ivcanon
14038 @item -ftree-loop-ivcanon
14039 Create a canonical counter for number of iterations in loops for which
14040 determining number of iterations requires complicated analysis. Later
14041 optimizations then may determine the number easily. Useful especially
14042 in connection with unrolling.
14044 @opindex ftree-scev-cprop
14045 @item -ftree-scev-cprop
14046 Perform final value replacement. If a variable is modified in a loop
14047 in such a way that its value when exiting the loop can be determined using
14048 only its initial value and the number of loop iterations, replace uses of
14049 the final value by such a computation, provided it is sufficiently cheap.
14050 This reduces data dependencies and may allow further simplifications.
14051 Enabled by default at @option{-O1} and higher.
14055 Perform induction variable optimizations (strength reduction, induction
14056 variable merging and induction variable elimination) on trees.
14058 @opindex ftree-parallelize-loops
14059 @item -ftree-parallelize-loops=n
14060 Parallelize loops, i.e., split their iteration space to run in n threads.
14061 This is only possible for loops whose iterations are independent
14062 and can be arbitrarily reordered. The optimization is only
14063 profitable on multiprocessor machines, for loops that are CPU-intensive,
14064 rather than constrained e.g.@: by memory bandwidth. This option
14065 implies @option{-pthread}, and thus is only supported on targets
14066 that have support for @option{-pthread}.
14070 Perform function-local points-to analysis on trees. This flag is
14071 enabled by default at @option{-O1} and higher, except for @option{-Og}.
14075 Perform scalar replacement of aggregates. This pass replaces structure
14076 references with scalars to prevent committing structures to memory too
14077 early. This flag is enabled by default at @option{-O1} and higher,
14078 except for @option{-Og}.
14080 @opindex fstore-merging
14081 @item -fstore-merging
14082 Perform merging of narrow stores to consecutive memory addresses. This pass
14083 merges contiguous stores of immediate values narrower than a word into fewer
14084 wider stores to reduce the number of instructions. This is enabled by default
14085 at @option{-O2} and higher as well as @option{-Os}.
14089 Perform temporary expression replacement during the SSA->normal phase. Single
14090 use/single def temporaries are replaced at their use location with their
14091 defining expression. This results in non-GIMPLE code, but gives the expanders
14092 much more complex trees to work on resulting in better RTL generation. This is
14093 enabled by default at @option{-O1} and higher.
14095 @opindex ftree-slsr
14097 Perform straight-line strength reduction on trees. This recognizes related
14098 expressions involving multiplications and replaces them by less expensive
14099 calculations when possible. This is enabled by default at @option{-O1} and
14102 @opindex ftree-vectorize
14103 @item -ftree-vectorize
14104 Perform vectorization on trees. This flag enables @option{-ftree-loop-vectorize}
14105 and @option{-ftree-slp-vectorize} if not explicitly specified.
14107 @opindex ftree-loop-vectorize
14108 @item -ftree-loop-vectorize
14109 Perform loop vectorization on trees. This flag is enabled by default at
14110 @option{-O2} and by @option{-ftree-vectorize}, @option{-fprofile-use},
14111 and @option{-fauto-profile}.
14113 @opindex ftree-slp-vectorize
14114 @item -ftree-slp-vectorize
14115 Perform basic block vectorization on trees. This flag is enabled by default at
14116 @option{-O2} and by @option{-ftree-vectorize}, @option{-fprofile-use},
14117 and @option{-fauto-profile}.
14119 @opindex ftrivial-auto-var-init
14120 @item -ftrivial-auto-var-init=@var{choice}
14121 Initialize automatic variables with either a pattern or with zeroes to increase
14122 the security and predictability of a program by preventing uninitialized memory
14123 disclosure and use.
14124 GCC still considers an automatic variable that doesn't have an explicit
14125 initializer as uninitialized, @option{-Wuninitialized} and
14126 @option{-Wanalyzer-use-of-uninitialized-value} will still report
14127 warning messages on such automatic variables and the compiler will
14128 perform optimization as if the variable were uninitialized.
14129 With this option, GCC will also initialize any padding of automatic variables
14130 that have structure or union types to zeroes.
14131 However, the current implementation cannot initialize automatic variables that
14132 are declared between the controlling expression and the first case of a
14133 @code{switch} statement. Using @option{-Wtrivial-auto-var-init} to report all
14136 The three values of @var{choice} are:
14140 @samp{uninitialized} doesn't initialize any automatic variables.
14141 This is C and C++'s default.
14144 @samp{pattern} Initialize automatic variables with values which will likely
14145 transform logic bugs into crashes down the line, are easily recognized in a
14146 crash dump and without being values that programmers can rely on for useful
14148 The current value is byte-repeatable pattern with byte "0xFE".
14149 The values used for pattern initialization might be changed in the future.
14152 @samp{zero} Initialize automatic variables with zeroes.
14155 The default is @samp{uninitialized}.
14157 Note that the initializer values, whether @samp{zero} or @samp{pattern},
14158 refer to data representation (in memory or machine registers), rather
14159 than to their interpretation as numerical values. This distinction may
14160 be important in languages that support types with biases or implicit
14161 multipliers, and with such extensions as @samp{hardbool} (@pxref{Type
14162 Attributes}). For example, a variable that uses 8 bits to represent
14163 (biased) quantities in the @code{range 160..400} will be initialized
14164 with the bit patterns @code{0x00} or @code{0xFE}, depending on
14165 @var{choice}, whether or not these representations stand for values in
14166 that range, and even if they do, the interpretation of the value held by
14167 the variable will depend on the bias. A @samp{hardbool} variable that
14168 uses say @code{0X5A} and @code{0xA5} for @code{false} and @code{true},
14169 respectively, will trap with either @samp{choice} of trivial
14170 initializer, i.e., @samp{zero} initialization will not convert to the
14171 representation for @code{false}, even if it would for a @code{static}
14172 variable of the same type. This means the initializer pattern doesn't
14173 generally depend on the type of the initialized variable. One notable
14174 exception is that (non-hardened) boolean variables that fit in registers
14175 are initialized with @code{false} (zero), even when @samp{pattern} is
14178 You can control this behavior for a specific variable by using the variable
14179 attribute @code{uninitialized} (@pxref{Variable Attributes}).
14181 @opindex fvect-cost-model
14182 @item -fvect-cost-model=@var{model}
14183 Alter the cost model used for vectorization. The @var{model} argument
14184 should be one of @samp{unlimited}, @samp{dynamic}, @samp{cheap} or
14186 With the @samp{unlimited} model the vectorized code-path is assumed
14187 to be profitable while with the @samp{dynamic} model a runtime check
14188 guards the vectorized code-path to enable it only for iteration
14189 counts that will likely execute faster than when executing the original
14190 scalar loop. The @samp{cheap} model disables vectorization of
14191 loops where doing so would be cost prohibitive for example due to
14192 required runtime checks for data dependence or alignment but otherwise
14193 is equal to the @samp{dynamic} model. The @samp{very-cheap} model disables
14194 vectorization of loops when any runtime check for data dependence or alignment
14195 is required, it also disables vectorization of epilogue loops but otherwise is
14196 equal to the @samp{cheap} model.
14198 The default cost model depends on other optimization flags and is
14199 either @samp{dynamic} or @samp{cheap}.
14201 @opindex fsimd-cost-model
14202 @item -fsimd-cost-model=@var{model}
14203 Alter the cost model used for vectorization of loops marked with the OpenMP
14204 simd directive. The @var{model} argument should be one of
14205 @samp{unlimited}, @samp{dynamic}, @samp{cheap}. All values of @var{model}
14206 have the same meaning as described in @option{-fvect-cost-model} and by
14207 default a cost model defined with @option{-fvect-cost-model} is used.
14211 Perform Value Range Propagation on trees. This is similar to the
14212 constant propagation pass, but instead of values, ranges of values are
14213 propagated. This allows the optimizers to remove unnecessary range
14214 checks like array bound checks and null pointer checks. This is
14215 enabled by default at @option{-O2} and higher. Null pointer check
14216 elimination is only done if @option{-fdelete-null-pointer-checks} is
14219 @opindex fsplit-paths
14220 @item -fsplit-paths
14221 Split paths leading to loop backedges. This can improve dead code
14222 elimination and common subexpression elimination. This is enabled by
14223 default at @option{-O3} and above.
14225 @opindex fsplit-ivs-in-unroller
14226 @item -fsplit-ivs-in-unroller
14227 Enables expression of values of induction variables in later iterations
14228 of the unrolled loop using the value in the first iteration. This breaks
14229 long dependency chains, thus improving efficiency of the scheduling passes.
14231 A combination of @option{-fweb} and CSE is often sufficient to obtain the
14232 same effect. However, that is not reliable in cases where the loop body
14233 is more complicated than a single basic block. It also does not work at all
14234 on some architectures due to restrictions in the CSE pass.
14236 This optimization is enabled by default.
14238 @opindex fvariable-expansion-in-unroller
14239 @item -fvariable-expansion-in-unroller
14240 With this option, the compiler creates multiple copies of some
14241 local variables when unrolling a loop, which can result in superior code.
14243 This optimization is enabled by default for PowerPC targets, but disabled
14244 by default otherwise.
14246 @opindex fpartial-inlining
14247 @item -fpartial-inlining
14248 Inline parts of functions. This option has any effect only
14249 when inlining itself is turned on by the @option{-finline-functions}
14250 or @option{-finline-small-functions} options.
14252 Enabled at levels @option{-O2}, @option{-O3}, @option{-Os}.
14254 @opindex fpredictive-commoning
14255 @item -fpredictive-commoning
14256 Perform predictive commoning optimization, i.e., reusing computations
14257 (especially memory loads and stores) performed in previous
14258 iterations of loops.
14260 This option is enabled at level @option{-O3}.
14261 It is also enabled by @option{-fprofile-use} and @option{-fauto-profile}.
14263 @opindex fprefetch-loop-arrays
14264 @item -fprefetch-loop-arrays
14265 If supported by the target machine, generate instructions to prefetch
14266 memory to improve the performance of loops that access large arrays.
14268 This option may generate better or worse code; results are highly
14269 dependent on the structure of loops within the source code.
14271 Disabled at level @option{-Os}.
14273 @opindex fno-printf-return-value
14274 @opindex fprintf-return-value
14275 @item -fno-printf-return-value
14276 Do not substitute constants for known return value of formatted output
14277 functions such as @code{sprintf}, @code{snprintf}, @code{vsprintf}, and
14278 @code{vsnprintf} (but not @code{printf} of @code{fprintf}). This
14279 transformation allows GCC to optimize or even eliminate branches based
14280 on the known return value of these functions called with arguments that
14281 are either constant, or whose values are known to be in a range that
14282 makes determining the exact return value possible. For example, when
14283 @option{-fprintf-return-value} is in effect, both the branch and the
14284 body of the @code{if} statement (but not the call to @code{snprint})
14285 can be optimized away when @code{i} is a 32-bit or smaller integer
14286 because the return value is guaranteed to be at most 8.
14290 if (snprintf (buf, "%08x", i) >= sizeof buf)
14294 The @option{-fprintf-return-value} option relies on other optimizations
14295 and yields best results with @option{-O2} and above. It works in tandem
14296 with the @option{-Wformat-overflow} and @option{-Wformat-truncation}
14297 options. The @option{-fprintf-return-value} option is enabled by default.
14299 @opindex fno-peephole
14301 @opindex fno-peephole2
14302 @opindex fpeephole2
14303 @item -fno-peephole
14304 @itemx -fno-peephole2
14305 Disable any machine-specific peephole optimizations. The difference
14306 between @option{-fno-peephole} and @option{-fno-peephole2} is in how they
14307 are implemented in the compiler; some targets use one, some use the
14308 other, a few use both.
14310 @option{-fpeephole} is enabled by default.
14311 @option{-fpeephole2} enabled at levels @option{-O2}, @option{-O3}, @option{-Os}.
14313 @opindex fno-guess-branch-probability
14314 @opindex fguess-branch-probability
14315 @item -fno-guess-branch-probability
14316 Do not guess branch probabilities using heuristics.
14318 GCC uses heuristics to guess branch probabilities if they are
14319 not provided by profiling feedback (@option{-fprofile-arcs}). These
14320 heuristics are based on the control flow graph. If some branch probabilities
14321 are specified by @code{__builtin_expect}, then the heuristics are
14322 used to guess branch probabilities for the rest of the control flow graph,
14323 taking the @code{__builtin_expect} info into account. The interactions
14324 between the heuristics and @code{__builtin_expect} can be complex, and in
14325 some cases, it may be useful to disable the heuristics so that the effects
14326 of @code{__builtin_expect} are easier to understand.
14328 It is also possible to specify expected probability of the expression
14329 with @code{__builtin_expect_with_probability} built-in function.
14331 The default is @option{-fguess-branch-probability} at levels
14332 @option{-O}, @option{-O2}, @option{-O3}, @option{-Os}.
14334 @opindex freorder-blocks
14335 @item -freorder-blocks
14336 Reorder basic blocks in the compiled function in order to reduce number of
14337 taken branches and improve code locality.
14339 Enabled at levels @option{-O1}, @option{-O2}, @option{-O3}, @option{-Os}.
14341 @opindex freorder-blocks-algorithm
14342 @item -freorder-blocks-algorithm=@var{algorithm}
14343 Use the specified algorithm for basic block reordering. The
14344 @var{algorithm} argument can be @samp{simple}, which does not increase
14345 code size (except sometimes due to secondary effects like alignment),
14346 or @samp{stc}, the ``software trace cache'' algorithm, which tries to
14347 put all often executed code together, minimizing the number of branches
14348 executed by making extra copies of code.
14350 The default is @samp{simple} at levels @option{-O1}, @option{-Os}, and
14351 @samp{stc} at levels @option{-O2}, @option{-O3}.
14353 @opindex freorder-blocks-and-partition
14354 @item -freorder-blocks-and-partition
14355 In addition to reordering basic blocks in the compiled function, in order
14356 to reduce number of taken branches, partitions hot and cold basic blocks
14357 into separate sections of the assembly and @file{.o} files, to improve
14358 paging and cache locality performance.
14360 This optimization is automatically turned off in the presence of
14361 exception handling or unwind tables (on targets using setjump/longjump or target specific scheme), for linkonce sections, for functions with a user-defined
14362 section attribute and on any architecture that does not support named
14363 sections. When @option{-fsplit-stack} is used this option is not
14364 enabled by default (to avoid linker errors), but may be enabled
14365 explicitly (if using a working linker).
14367 Enabled for x86 at levels @option{-O2}, @option{-O3}, @option{-Os}.
14369 @opindex freorder-functions
14370 @item -freorder-functions
14371 Reorder functions in the object file in order to
14372 improve code locality. This is implemented by using special
14373 subsections @code{.text.hot} for most frequently executed functions and
14374 @code{.text.unlikely} for unlikely executed functions. Reordering is done by
14375 the linker so object file format must support named sections and linker must
14376 place them in a reasonable way.
14378 This option isn't effective unless you either provide profile feedback
14379 (see @option{-fprofile-arcs} for details) or manually annotate functions with
14380 @code{hot} or @code{cold} attributes (@pxref{Common Function Attributes}).
14382 Enabled at levels @option{-O2}, @option{-O3}, @option{-Os}.
14384 @opindex fstrict-aliasing
14385 @item -fstrict-aliasing
14386 Allow the compiler to assume the strictest aliasing rules applicable to
14387 the language being compiled. For C (and C++), this activates
14388 optimizations based on the type of expressions. In particular, an
14389 object of one type is assumed never to reside at the same address as an
14390 object of a different type, unless the types are almost the same. For
14391 example, an @code{unsigned int} can alias an @code{int}, but not a
14392 @code{void*} or a @code{double}. A character type may alias any other
14395 @anchor{Type-punning}Pay special attention to code like this:
14408 The practice of reading from a different union member than the one most
14409 recently written to (called ``type-punning'') is common. Even with
14410 @option{-fstrict-aliasing}, type-punning is allowed, provided the memory
14411 is accessed through the union type. So, the code above works as
14412 expected. @xref{Structures unions enumerations and bit-fields
14413 implementation}. However, this code might not:
14424 Similarly, access by taking the address, casting the resulting pointer
14425 and dereferencing the result has undefined behavior, even if the cast
14426 uses a union type, e.g.:
14430 return ((union a_union *) &d)->i;
14434 The @option{-fstrict-aliasing} option is enabled at levels
14435 @option{-O2}, @option{-O3}, @option{-Os}.
14437 @opindex fipa-strict-aliasing
14438 @item -fipa-strict-aliasing
14439 Controls whether rules of @option{-fstrict-aliasing} are applied across
14440 function boundaries. Note that if multiple functions gets inlined into a
14441 single function the memory accesses are no longer considered to be crossing a
14444 The @option{-fipa-strict-aliasing} option is enabled by default and is
14445 effective only in combination with @option{-fstrict-aliasing}.
14447 @opindex falign-functions
14448 @item -falign-functions
14449 @itemx -falign-functions=@var{n}
14450 @itemx -falign-functions=@var{n}:@var{m}
14451 @itemx -falign-functions=@var{n}:@var{m}:@var{n2}
14452 @itemx -falign-functions=@var{n}:@var{m}:@var{n2}:@var{m2}
14453 Align the start of functions to the next power-of-two greater than or
14454 equal to @var{n}, skipping up to @var{m}-1 bytes. This ensures that at
14455 least the first @var{m} bytes of the function can be fetched by the CPU
14456 without crossing an @var{n}-byte alignment boundary.
14457 This is an optimization of code performance and alignment is ignored for
14458 functions considered cold. If alignment is required for all functions,
14459 use @option{-fmin-function-alignment}.
14461 If @var{m} is not specified, it defaults to @var{n}.
14463 Examples: @option{-falign-functions=32} aligns functions to the next
14464 32-byte boundary, @option{-falign-functions=24} aligns to the next
14465 32-byte boundary only if this can be done by skipping 23 bytes or less,
14466 @option{-falign-functions=32:7} aligns to the next
14467 32-byte boundary only if this can be done by skipping 6 bytes or less.
14469 The second pair of @var{n2}:@var{m2} values allows you to specify
14470 a secondary alignment: @option{-falign-functions=64:7:32:3} aligns to
14471 the next 64-byte boundary if this can be done by skipping 6 bytes or less,
14472 otherwise aligns to the next 32-byte boundary if this can be done
14473 by skipping 2 bytes or less.
14474 If @var{m2} is not specified, it defaults to @var{n2}.
14476 Some assemblers only support this flag when @var{n} is a power of two;
14477 in that case, it is rounded up.
14479 @option{-fno-align-functions} and @option{-falign-functions=1} are
14480 equivalent and mean that functions are not aligned.
14482 If @var{n} is not specified or is zero, use a machine-dependent default.
14483 The maximum allowed @var{n} option value is 65536.
14485 Enabled at levels @option{-O2}, @option{-O3}.
14487 @item -flimit-function-alignment
14488 If this option is enabled, the compiler tries to avoid unnecessarily
14489 overaligning functions. It attempts to instruct the assembler to align
14490 by the amount specified by @option{-falign-functions}, but not to
14491 skip more bytes than the size of the function.
14493 @opindex falign-labels
14494 @item -falign-labels
14495 @itemx -falign-labels=@var{n}
14496 @itemx -falign-labels=@var{n}:@var{m}
14497 @itemx -falign-labels=@var{n}:@var{m}:@var{n2}
14498 @itemx -falign-labels=@var{n}:@var{m}:@var{n2}:@var{m2}
14499 Align all branch targets to a power-of-two boundary.
14501 Parameters of this option are analogous to the @option{-falign-functions} option.
14502 @option{-fno-align-labels} and @option{-falign-labels=1} are
14503 equivalent and mean that labels are not aligned.
14505 If @option{-falign-loops} or @option{-falign-jumps} are applicable and
14506 are greater than this value, then their values are used instead.
14508 If @var{n} is not specified or is zero, use a machine-dependent default
14509 which is very likely to be @samp{1}, meaning no alignment.
14510 The maximum allowed @var{n} option value is 65536.
14512 Enabled at levels @option{-O2}, @option{-O3}.
14514 @opindex falign-loops
14515 @item -falign-loops
14516 @itemx -falign-loops=@var{n}
14517 @itemx -falign-loops=@var{n}:@var{m}
14518 @itemx -falign-loops=@var{n}:@var{m}:@var{n2}
14519 @itemx -falign-loops=@var{n}:@var{m}:@var{n2}:@var{m2}
14520 Align loops to a power-of-two boundary. If the loops are executed
14521 many times, this makes up for any execution of the dummy padding
14523 This is an optimization of code performance and alignment is ignored for
14524 loops considered cold.
14526 If @option{-falign-labels} is greater than this value, then its value
14529 Parameters of this option are analogous to the @option{-falign-functions} option.
14530 @option{-fno-align-loops} and @option{-falign-loops=1} are
14531 equivalent and mean that loops are not aligned.
14532 The maximum allowed @var{n} option value is 65536.
14534 If @var{n} is not specified or is zero, use a machine-dependent default.
14536 Enabled at levels @option{-O2}, @option{-O3}.
14538 @opindex falign-jumps
14539 @item -falign-jumps
14540 @itemx -falign-jumps=@var{n}
14541 @itemx -falign-jumps=@var{n}:@var{m}
14542 @itemx -falign-jumps=@var{n}:@var{m}:@var{n2}
14543 @itemx -falign-jumps=@var{n}:@var{m}:@var{n2}:@var{m2}
14544 Align branch targets to a power-of-two boundary, for branch targets
14545 where the targets can only be reached by jumping. In this case,
14546 no dummy operations need be executed.
14547 This is an optimization of code performance and alignment is ignored for
14548 jumps considered cold.
14550 If @option{-falign-labels} is greater than this value, then its value
14553 Parameters of this option are analogous to the @option{-falign-functions} option.
14554 @option{-fno-align-jumps} and @option{-falign-jumps=1} are
14555 equivalent and mean that loops are not aligned.
14557 If @var{n} is not specified or is zero, use a machine-dependent default.
14558 The maximum allowed @var{n} option value is 65536.
14560 Enabled at levels @option{-O2}, @option{-O3}.
14562 @opindex fmin-function-alignment=@var{n}
14563 @item -fmin-function-alignment
14564 Specify minimal alignment of functions to the next power-of-two greater than or
14565 equal to @var{n}. Unlike @option{-falign-functions} this alignment is applied
14566 also to all functions (even those considered cold). The alignment is also not
14567 affected by @option{-flimit-function-alignment}
14570 @opindex fno-allocation-dce
14571 @item -fno-allocation-dce
14572 Do not remove unused C++ allocations in dead code elimination.
14574 @opindex fallow-store-data-races
14575 @item -fallow-store-data-races
14576 Allow the compiler to perform optimizations that may introduce new data races
14577 on stores, without proving that the variable cannot be concurrently accessed
14578 by other threads. Does not affect optimization of local data. It is safe to
14579 use this option if it is known that global data will not be accessed by
14582 Examples of optimizations enabled by @option{-fallow-store-data-races} include
14583 hoisting or if-conversions that may cause a value that was already in memory
14584 to be re-written with that same value. Such re-writing is safe in a single
14585 threaded context but may be unsafe in a multi-threaded context. Note that on
14586 some processors, if-conversions may be required in order to enable
14589 Enabled at level @option{-Ofast}.
14591 @opindex funit-at-a-time
14592 @item -funit-at-a-time
14593 This option is left for compatibility reasons. @option{-funit-at-a-time}
14594 has no effect, while @option{-fno-unit-at-a-time} implies
14595 @option{-fno-toplevel-reorder} and @option{-fno-section-anchors}.
14597 Enabled by default.
14599 @opindex fno-toplevel-reorder
14600 @opindex ftoplevel-reorder
14601 @item -fno-toplevel-reorder
14602 Do not reorder top-level functions, variables, and @code{asm}
14603 statements. Output them in the same order that they appear in the
14604 input file. When this option is used, unreferenced static variables
14605 are not removed. This option is intended to support existing code
14606 that relies on a particular ordering. For new code, it is better to
14607 use attributes when possible.
14609 @option{-ftoplevel-reorder} is the default at @option{-O1} and higher, and
14610 also at @option{-O0} if @option{-fsection-anchors} is explicitly requested.
14611 Additionally @option{-fno-toplevel-reorder} implies
14612 @option{-fno-section-anchors}.
14614 @opindex funreachable-traps
14615 @item -funreachable-traps
14616 With this option, the compiler turns calls to
14617 @code{__builtin_unreachable} into traps, instead of using them for
14618 optimization. This also affects any such calls implicitly generated
14621 This option has the same effect as @option{-fsanitize=unreachable
14622 -fsanitize-trap=unreachable}, but does not affect the values of those
14623 options. If @option{-fsanitize=unreachable} is enabled, that option
14624 takes priority over this one.
14626 This option is enabled by default at @option{-O0} and @option{-Og}.
14630 Constructs webs as commonly used for register allocation purposes and assign
14631 each web individual pseudo register. This allows the register allocation pass
14632 to operate on pseudos directly, but also strengthens several other optimization
14633 passes, such as CSE, loop optimizer and trivial dead code remover. It can,
14634 however, make debugging impossible, since variables no longer stay in a
14637 Enabled by default with @option{-funroll-loops}.
14639 @opindex fwhole-program
14640 @item -fwhole-program
14641 Assume that the current compilation unit represents the whole program being
14642 compiled. All public functions and variables with the exception of @code{main}
14643 and those merged by attribute @code{externally_visible} become static functions
14644 and in effect are optimized more aggressively by interprocedural optimizers.
14646 With @option{-flto} this option has a limited use. In most cases the
14647 precise list of symbols used or exported from the binary is known the
14648 resolution info passed to the link-time optimizer by the linker plugin. It is
14649 still useful if no linker plugin is used or during incremental link step when
14650 final code is produced (with @option{-flto}
14651 @option{-flinker-output=nolto-rel}).
14654 @item -flto[=@var{n}]
14655 This option runs the standard link-time optimizer. When invoked
14656 with source code, it generates GIMPLE (one of GCC's internal
14657 representations) and writes it to special ELF sections in the object
14658 file. When the object files are linked together, all the function
14659 bodies are read from these ELF sections and instantiated as if they
14660 had been part of the same translation unit.
14662 To use the link-time optimizer, @option{-flto} and optimization
14663 options should be specified at compile time and during the final link.
14664 It is recommended that you compile all the files participating in the
14665 same link with the same options and also specify those options at
14670 gcc -c -O2 -flto foo.c
14671 gcc -c -O2 -flto bar.c
14672 gcc -o myprog -flto -O2 foo.o bar.o
14675 The first two invocations to GCC save a bytecode representation
14676 of GIMPLE into special ELF sections inside @file{foo.o} and
14677 @file{bar.o}. The final invocation reads the GIMPLE bytecode from
14678 @file{foo.o} and @file{bar.o}, merges the two files into a single
14679 internal image, and compiles the result as usual. Since both
14680 @file{foo.o} and @file{bar.o} are merged into a single image, this
14681 causes all the interprocedural analyses and optimizations in GCC to
14682 work across the two files as if they were a single one. This means,
14683 for example, that the inliner is able to inline functions in
14684 @file{bar.o} into functions in @file{foo.o} and vice-versa.
14686 Another (simpler) way to enable link-time optimization is:
14689 gcc -o myprog -flto -O2 foo.c bar.c
14692 The above generates bytecode for @file{foo.c} and @file{bar.c},
14693 merges them together into a single GIMPLE representation and optimizes
14694 them as usual to produce @file{myprog}.
14696 The important thing to keep in mind is that to enable link-time
14697 optimizations you need to use the GCC driver to perform the link step.
14698 GCC automatically performs link-time optimization if any of the
14699 objects involved were compiled with the @option{-flto} command-line option.
14700 You can always override
14701 the automatic decision to do link-time optimization
14702 by passing @option{-fno-lto} to the link command.
14704 To make whole program optimization effective, it is necessary to make
14705 certain whole program assumptions. The compiler needs to know
14706 what functions and variables can be accessed by libraries and runtime
14707 outside of the link-time optimized unit. When supported by the linker,
14708 the linker plugin (see @option{-fuse-linker-plugin}) passes information
14709 to the compiler about used and externally visible symbols. When
14710 the linker plugin is not available, @option{-fwhole-program} should be
14711 used to allow the compiler to make these assumptions, which leads
14712 to more aggressive optimization decisions.
14714 When a file is compiled with @option{-flto} without
14715 @option{-fuse-linker-plugin}, the generated object file is larger than
14716 a regular object file because it contains GIMPLE bytecodes and the usual
14717 final code (see @option{-ffat-lto-objects}). This means that
14718 object files with LTO information can be linked as normal object
14719 files; if @option{-fno-lto} is passed to the linker, no
14720 interprocedural optimizations are applied. Note that when
14721 @option{-fno-fat-lto-objects} is enabled the compile stage is faster
14722 but you cannot perform a regular, non-LTO link on them.
14724 When producing the final binary, GCC only
14725 applies link-time optimizations to those files that contain bytecode.
14726 Therefore, you can mix and match object files and libraries with
14727 GIMPLE bytecodes and final object code. GCC automatically selects
14728 which files to optimize in LTO mode and which files to link without
14729 further processing.
14731 Generally, options specified at link time override those
14732 specified at compile time, although in some cases GCC attempts to infer
14733 link-time options from the settings used to compile the input files.
14735 If you do not specify an optimization level option @option{-O} at
14736 link time, then GCC uses the highest optimization level
14737 used when compiling the object files. Note that it is generally
14738 ineffective to specify an optimization level option only at link time and
14739 not at compile time, for two reasons. First, compiling without
14740 optimization suppresses compiler passes that gather information
14741 needed for effective optimization at link time. Second, some early
14742 optimization passes can be performed only at compile time and
14745 There are some code generation flags preserved by GCC when
14746 generating bytecodes, as they need to be used during the final link.
14747 Currently, the following options and their settings are taken from
14748 the first object file that explicitly specifies them:
14749 @option{-fcommon}, @option{-fexceptions}, @option{-fnon-call-exceptions},
14750 @option{-fgnu-tm} and all the @option{-m} target flags.
14752 The following options @option{-fPIC}, @option{-fpic}, @option{-fpie} and
14753 @option{-fPIE} are combined based on the following scheme:
14756 @option{-fPIC} + @option{-fpic} = @option{-fpic}
14757 @option{-fPIC} + @option{-fno-pic} = @option{-fno-pic}
14758 @option{-fpic/-fPIC} + (no option) = (no option)
14759 @option{-fPIC} + @option{-fPIE} = @option{-fPIE}
14760 @option{-fpic} + @option{-fPIE} = @option{-fpie}
14761 @option{-fPIC/-fpic} + @option{-fpie} = @option{-fpie}
14764 Certain ABI-changing flags are required to match in all compilation units,
14765 and trying to override this at link time with a conflicting value
14766 is ignored. This includes options such as @option{-freg-struct-return}
14767 and @option{-fpcc-struct-return}.
14769 Other options such as @option{-ffp-contract}, @option{-fno-strict-overflow},
14770 @option{-fwrapv}, @option{-fno-trapv} or @option{-fno-strict-aliasing}
14771 are passed through to the link stage and merged conservatively for
14772 conflicting translation units. Specifically
14773 @option{-fno-strict-overflow}, @option{-fwrapv} and @option{-fno-trapv} take
14774 precedence; and for example @option{-ffp-contract=off} takes precedence
14775 over @option{-ffp-contract=fast}. You can override them at link time.
14777 Diagnostic options such as @option{-Wstringop-overflow} are passed
14778 through to the link stage and their setting matches that of the
14779 compile-step at function granularity. Note that this matters only
14780 for diagnostics emitted during optimization. Note that code
14781 transforms such as inlining can lead to warnings being enabled
14782 or disabled for regions if code not consistent with the setting
14785 When you need to pass options to the assembler via @option{-Wa} or
14786 @option{-Xassembler} make sure to either compile such translation
14787 units with @option{-fno-lto} or consistently use the same assembler
14788 options on all translation units. You can alternatively also
14789 specify assembler options at LTO link time.
14791 To enable debug info generation you need to supply @option{-g} at
14792 compile time. If any of the input files at link time were built
14793 with debug info generation enabled the link will enable debug info
14794 generation as well. Any elaborate debug info settings
14795 like the dwarf level @option{-gdwarf-5} need to be explicitly repeated
14796 at the linker command line and mixing different settings in different
14797 translation units is discouraged.
14799 If LTO encounters objects with C linkage declared with incompatible
14800 types in separate translation units to be linked together (undefined
14801 behavior according to ISO C99 6.2.7), a non-fatal diagnostic may be
14802 issued. The behavior is still undefined at run time. Similar
14803 diagnostics may be raised for other languages.
14805 Another feature of LTO is that it is possible to apply interprocedural
14806 optimizations on files written in different languages:
14810 g++ -c -flto bar.cc
14811 gfortran -c -flto baz.f90
14812 g++ -o myprog -flto -O3 foo.o bar.o baz.o -lgfortran
14815 Notice that the final link is done with @command{g++} to get the C++
14816 runtime libraries and @option{-lgfortran} is added to get the Fortran
14817 runtime libraries. In general, when mixing languages in LTO mode, you
14818 should use the same link command options as when mixing languages in a
14819 regular (non-LTO) compilation.
14821 If object files containing GIMPLE bytecode are stored in a library archive, say
14822 @file{libfoo.a}, it is possible to extract and use them in an LTO link if you
14823 are using a linker with plugin support. To create static libraries suitable
14824 for LTO, use @command{gcc-ar} and @command{gcc-ranlib} instead of @command{ar}
14825 and @command{ranlib};
14826 to show the symbols of object files with GIMPLE bytecode, use
14827 @command{gcc-nm}. Those commands require that @command{ar}, @command{ranlib}
14828 and @command{nm} have been compiled with plugin support. At link time, use the
14829 flag @option{-fuse-linker-plugin} to ensure that the library participates in
14830 the LTO optimization process:
14833 gcc -o myprog -O2 -flto -fuse-linker-plugin a.o b.o -lfoo
14836 With the linker plugin enabled, the linker extracts the needed
14837 GIMPLE files from @file{libfoo.a} and passes them on to the running GCC
14838 to make them part of the aggregated GIMPLE image to be optimized.
14840 If you are not using a linker with plugin support and/or do not
14841 enable the linker plugin, then the objects inside @file{libfoo.a}
14842 are extracted and linked as usual, but they do not participate
14843 in the LTO optimization process. In order to make a static library suitable
14844 for both LTO optimization and usual linkage, compile its object files with
14845 @option{-flto} @option{-ffat-lto-objects}.
14847 Link-time optimizations do not require the presence of the whole program to
14848 operate. If the program does not require any symbols to be exported, it is
14849 possible to combine @option{-flto} and @option{-fwhole-program} to allow
14850 the interprocedural optimizers to use more aggressive assumptions which may
14851 lead to improved optimization opportunities.
14852 Use of @option{-fwhole-program} is not needed when linker plugin is
14853 active (see @option{-fuse-linker-plugin}).
14855 The current implementation of LTO makes no
14856 attempt to generate bytecode that is portable between different
14857 types of hosts. The bytecode files are versioned and there is a
14858 strict version check, so bytecode files generated in one version of
14859 GCC do not work with an older or newer version of GCC.
14861 Link-time optimization does not work well with generation of debugging
14862 information on systems other than those using a combination of ELF and
14865 If you specify the optional @var{n}, the optimization and code
14866 generation done at link time is executed in parallel using @var{n}
14867 parallel jobs by utilizing an installed @command{make} program. The
14868 environment variable @env{MAKE} may be used to override the program
14871 You can also specify @option{-flto=jobserver} to use GNU make's
14872 job server mode to determine the number of parallel jobs. This
14873 is useful when the Makefile calling GCC is already executing in parallel.
14874 You must prepend a @samp{+} to the command recipe in the parent Makefile
14875 for this to work. This option likely only works if @env{MAKE} is
14876 GNU make. Even without the option value, GCC tries to automatically
14877 detect a running GNU make's job server.
14879 Use @option{-flto=auto} to use GNU make's job server, if available,
14880 or otherwise fall back to autodetection of the number of CPU threads
14881 present in your system.
14883 @opindex flto-partition
14884 @item -flto-partition=@var{alg}
14885 Specify the partitioning algorithm used by the link-time optimizer.
14886 The value is either @samp{1to1} to specify a partitioning mirroring
14887 the original source files or @samp{balanced} to specify partitioning
14888 into equally sized chunks (whenever possible) or @samp{max} to create
14889 new partition for every symbol where possible. Specifying @samp{none}
14890 as an algorithm disables partitioning and streaming completely.
14891 The default value is @samp{balanced}. While @samp{1to1} can be used
14892 as an workaround for various code ordering issues, the @samp{max}
14893 partitioning is intended for internal testing only.
14894 The value @samp{one} specifies that exactly one partition should be
14895 used while the value @samp{none} bypasses partitioning and executes
14896 the link-time optimization step directly from the WPA phase.
14898 @opindex flto-compression-level
14899 @item -flto-compression-level=@var{n}
14900 This option specifies the level of compression used for intermediate
14901 language written to LTO object files, and is only meaningful in
14902 conjunction with LTO mode (@option{-flto}). GCC currently supports two
14903 LTO compression algorithms. For zstd, valid values are 0 (no compression)
14904 to 19 (maximum compression), while zlib supports values from 0 to 9.
14905 Values outside this range are clamped to either minimum or maximum
14906 of the supported values. If the option is not given,
14907 a default balanced compression setting is used.
14909 @opindex fuse-linker-plugin
14910 @item -fuse-linker-plugin
14911 Enables the use of a linker plugin during link-time optimization. This
14912 option relies on plugin support in the linker, which is available in gold
14913 or in GNU ld 2.21 or newer.
14915 This option enables the extraction of object files with GIMPLE bytecode out
14916 of library archives. This improves the quality of optimization by exposing
14917 more code to the link-time optimizer. This information specifies what
14918 symbols can be accessed externally (by non-LTO object or during dynamic
14919 linking). Resulting code quality improvements on binaries (and shared
14920 libraries that use hidden visibility) are similar to @option{-fwhole-program}.
14921 See @option{-flto} for a description of the effect of this flag and how to
14924 This option is enabled by default when LTO support in GCC is enabled
14925 and GCC was configured for use with
14926 a linker supporting plugins (GNU ld 2.21 or newer or gold).
14928 @opindex ffat-lto-objects
14929 @item -ffat-lto-objects
14930 Fat LTO objects are object files that contain both the intermediate language
14931 and the object code. This makes them usable for both LTO linking and normal
14932 linking. This option is effective only when compiling with @option{-flto}
14933 and is ignored at link time.
14935 @option{-fno-fat-lto-objects} improves compilation time over plain LTO, but
14936 requires the complete toolchain to be aware of LTO. It requires a linker with
14937 linker plugin support for basic functionality. Additionally,
14938 @command{nm}, @command{ar} and @command{ranlib}
14939 need to support linker plugins to allow a full-featured build environment
14940 (capable of building static libraries etc). GCC provides the @command{gcc-ar},
14941 @command{gcc-nm}, @command{gcc-ranlib} wrappers to pass the right options
14942 to these tools. With non fat LTO makefiles need to be modified to use them.
14944 Note that modern binutils provide plugin auto-load mechanism.
14945 Installing the linker plugin into @file{$libdir/bfd-plugins} has the same
14946 effect as usage of the command wrappers (@command{gcc-ar}, @command{gcc-nm} and
14947 @command{gcc-ranlib}).
14949 The default is @option{-fno-fat-lto-objects} on targets with linker plugin
14952 @opindex fcompare-elim
14953 @item -fcompare-elim
14954 After register allocation and post-register allocation instruction splitting,
14955 identify arithmetic instructions that compute processor flags similar to a
14956 comparison operation based on that arithmetic. If possible, eliminate the
14957 explicit comparison operation.
14959 This pass only applies to certain targets that cannot explicitly represent
14960 the comparison operation before register allocation is complete.
14962 Enabled at levels @option{-O1}, @option{-O2}, @option{-O3}, @option{-Os}.
14964 @opindex ffold-mem-offsets
14965 @item -ffold-mem-offsets
14966 @itemx -fno-fold-mem-offsets
14967 Try to eliminate add instructions by folding them in memory loads/stores.
14969 Enabled at levels @option{-O2}, @option{-O3}.
14971 @opindex fcprop-registers
14972 @item -fcprop-registers
14973 After register allocation and post-register allocation instruction splitting,
14974 perform a copy-propagation pass to try to reduce scheduling dependencies
14975 and occasionally eliminate the copy.
14977 Enabled at levels @option{-O1}, @option{-O2}, @option{-O3}, @option{-Os}.
14979 @opindex fprofile-correction
14980 @item -fprofile-correction
14981 Profiles collected using an instrumented binary for multi-threaded programs may
14982 be inconsistent due to missed counter updates. When this option is specified,
14983 GCC uses heuristics to correct or smooth out such inconsistencies. By
14984 default, GCC emits an error message when an inconsistent profile is detected.
14986 This option is enabled by @option{-fauto-profile}.
14988 @opindex fprofile-partial-training
14989 @item -fprofile-partial-training
14990 With @code{-fprofile-use} all portions of programs not executed during train
14991 run are optimized agressively for size rather than speed. In some cases it is
14992 not practical to train all possible hot paths in the program. (For
14993 example, program may contain functions specific for a given hardware and
14994 trianing may not cover all hardware configurations program is run on.) With
14995 @code{-fprofile-partial-training} profile feedback will be ignored for all
14996 functions not executed during the train run leading them to be optimized as if
14997 they were compiled without profile feedback. This leads to better performance
14998 when train run is not representative but also leads to significantly bigger
15001 @opindex fprofile-use
15002 @item -fprofile-use
15003 @itemx -fprofile-use=@var{path}
15004 Enable profile feedback-directed optimizations,
15005 and the following optimizations, many of which
15006 are generally profitable only with profile feedback available:
15008 @gccoptlist{-fbranch-probabilities -fprofile-values
15009 -funroll-loops -fpeel-loops -ftracer -fvpt
15010 -finline-functions -fipa-cp -fipa-cp-clone -fipa-bit-cp
15011 -fpredictive-commoning -fsplit-loops -funswitch-loops
15012 -fgcse-after-reload -ftree-loop-vectorize -ftree-slp-vectorize
15013 -fvect-cost-model=dynamic -ftree-loop-distribute-patterns
15014 -fprofile-reorder-functions}
15016 Before you can use this option, you must first generate profiling information.
15017 @xref{Instrumentation Options}, for information about the
15018 @option{-fprofile-generate} option.
15020 By default, GCC emits an error message if the feedback profiles do not
15021 match the source code. This error can be turned into a warning by using
15022 @option{-Wno-error=coverage-mismatch}. Note this may result in poorly
15023 optimized code. Additionally, by default, GCC also emits a warning message if
15024 the feedback profiles do not exist (see @option{-Wmissing-profile}).
15026 If @var{path} is specified, GCC looks at the @var{path} to find
15027 the profile feedback data files. See @option{-fprofile-dir}.
15029 @opindex fauto-profile
15030 @item -fauto-profile
15031 @itemx -fauto-profile=@var{path}
15032 Enable sampling-based feedback-directed optimizations,
15033 and the following optimizations,
15034 many of which are generally profitable only with profile feedback available:
15036 @gccoptlist{-fbranch-probabilities -fprofile-values
15037 -funroll-loops -fpeel-loops -ftracer -fvpt
15038 -finline-functions -fipa-cp -fipa-cp-clone -fipa-bit-cp
15039 -fpredictive-commoning -fsplit-loops -funswitch-loops
15040 -fgcse-after-reload -ftree-loop-vectorize -ftree-slp-vectorize
15041 -fvect-cost-model=dynamic -ftree-loop-distribute-patterns
15042 -fprofile-correction}
15044 @var{path} is the name of a file containing AutoFDO profile information.
15045 If omitted, it defaults to @file{fbdata.afdo} in the current directory.
15047 Producing an AutoFDO profile data file requires running your program
15048 with the @command{perf} utility on a supported GNU/Linux target system.
15049 For more information, see @uref{https://perf.wiki.kernel.org/}.
15053 perf record -e br_inst_retired:near_taken -b -o perf.data \
15057 Then use the @command{create_gcov} tool to convert the raw profile data
15058 to a format that can be used by GCC.@ You must also supply the
15059 unstripped binary for your program to this tool.
15060 See @uref{https://github.com/google/autofdo}.
15064 create_gcov --binary=your_program.unstripped --profile=perf.data \
15065 --gcov=profile.afdo
15069 The following options control compiler behavior regarding floating-point
15070 arithmetic. These options trade off between speed and
15071 correctness. All must be specifically enabled.
15074 @opindex ffloat-store
15075 @item -ffloat-store
15076 Do not store floating-point variables in registers, and inhibit other
15077 options that might change whether a floating-point value is taken from a
15078 register or memory.
15080 @cindex floating-point precision
15081 This option prevents undesirable excess precision on machines such as
15082 the 68000 where the floating registers (of the 68881) keep more
15083 precision than a @code{double} is supposed to have. Similarly for the
15084 x86 architecture. For most programs, the excess precision does only
15085 good, but a few programs rely on the precise definition of IEEE floating
15086 point. Use @option{-ffloat-store} for such programs, after modifying
15087 them to store all pertinent intermediate computations into variables.
15089 @opindex fexcess-precision
15090 @item -fexcess-precision=@var{style}
15091 This option allows further control over excess precision on machines
15092 where floating-point operations occur in a format with more precision or
15093 range than the IEEE standard and interchange floating-point types. By
15094 default, @option{-fexcess-precision=fast} is in effect; this means that
15095 operations may be carried out in a wider precision than the types specified
15096 in the source if that would result in faster code, and it is unpredictable
15097 when rounding to the types specified in the source code takes place.
15098 When compiling C or C++, if @option{-fexcess-precision=standard} is specified
15099 then excess precision follows the rules specified in ISO C99 or C++; in particular,
15100 both casts and assignments cause values to be rounded to their
15101 semantic types (whereas @option{-ffloat-store} only affects
15102 assignments). This option is enabled by default for C or C++ if a strict
15103 conformance option such as @option{-std=c99} or @option{-std=c++17} is used.
15104 @option{-ffast-math} enables @option{-fexcess-precision=fast} by default
15105 regardless of whether a strict conformance option is used.
15106 If @option{-fexcess-precision=16} is specified, constants and the
15107 results of expressions with types @code{_Float16} and @code{__bf16}
15108 are computed without excess precision.
15111 @option{-fexcess-precision=standard} is not implemented for languages
15112 other than C or C++. On the x86, it has no effect if @option{-mfpmath=sse}
15113 or @option{-mfpmath=sse+387} is specified; in the former case, IEEE
15114 semantics apply without excess precision, and in the latter, rounding
15117 @opindex ffast-math
15119 Sets the options @option{-fno-math-errno}, @option{-funsafe-math-optimizations},
15120 @option{-ffinite-math-only}, @option{-fno-rounding-math},
15121 @option{-fno-signaling-nans}, @option{-fcx-limited-range} and
15122 @option{-fexcess-precision=fast}.
15124 This option causes the preprocessor macro @code{__FAST_MATH__} to be defined.
15126 This option is not turned on by any @option{-O} option besides
15127 @option{-Ofast} since it can result in incorrect output for programs
15128 that depend on an exact implementation of IEEE or ISO rules/specifications
15129 for math functions. It may, however, yield faster code for programs
15130 that do not require the guarantees of these specifications.
15132 @opindex fno-math-errno
15133 @opindex fmath-errno
15134 @item -fno-math-errno
15135 Do not set @code{errno} after calling math functions that are executed
15136 with a single instruction, e.g., @code{sqrt}. A program that relies on
15137 IEEE exceptions for math error handling may want to use this flag
15138 for speed while maintaining IEEE arithmetic compatibility.
15140 This option is not turned on by any @option{-O} option besides
15141 @option{-Ofast} since it can result in incorrect output for
15142 programs that depend on an exact implementation of IEEE or
15143 ISO rules/specifications for math functions. It may, however,
15144 yield faster code for programs that do not require the guarantees
15145 of these specifications.
15147 The default is @option{-fmath-errno}.
15149 On Darwin systems, the math library never sets @code{errno}. There is
15150 therefore no reason for the compiler to consider the possibility that
15151 it might, and @option{-fno-math-errno} is the default.
15153 @opindex funsafe-math-optimizations
15154 @item -funsafe-math-optimizations
15156 Allow optimizations for floating-point arithmetic that (a) assume
15157 that arguments and results are valid and (b) may violate IEEE or
15158 ANSI standards. When used at link time, it may include libraries
15159 or startup files that change the default FPU control word or other
15160 similar optimizations.
15162 This option is not turned on by any @option{-O} option besides
15163 @option{-Ofast} since it can result in incorrect output
15164 for programs that depend on an exact implementation of IEEE
15165 or ISO rules/specifications for math functions. It may, however,
15166 yield faster code for programs that do not require the guarantees
15167 of these specifications.
15168 Enables @option{-fno-signed-zeros}, @option{-fno-trapping-math},
15169 @option{-fassociative-math} and @option{-freciprocal-math}.
15171 The default is @option{-fno-unsafe-math-optimizations}.
15173 @opindex fassociative-math
15174 @item -fassociative-math
15176 Allow re-association of operands in series of floating-point operations.
15177 This violates the ISO C and C++ language standard by possibly changing
15178 computation result. NOTE: re-ordering may change the sign of zero as
15179 well as ignore NaNs and inhibit or create underflow or overflow (and
15180 thus cannot be used on code that relies on rounding behavior like
15181 @code{(x + 2**52) - 2**52}. May also reorder floating-point comparisons
15182 and thus may not be used when ordered comparisons are required.
15183 This option requires that both @option{-fno-signed-zeros} and
15184 @option{-fno-trapping-math} be in effect. Moreover, it doesn't make
15185 much sense with @option{-frounding-math}. For Fortran the option
15186 is automatically enabled when both @option{-fno-signed-zeros} and
15187 @option{-fno-trapping-math} are in effect.
15189 The default is @option{-fno-associative-math}.
15191 @opindex freciprocal-math
15192 @item -freciprocal-math
15194 Allow the reciprocal of a value to be used instead of dividing by
15195 the value if this enables optimizations. For example @code{x / y}
15196 can be replaced with @code{x * (1/y)}, which is useful if @code{(1/y)}
15197 is subject to common subexpression elimination. Note that this loses
15198 precision and increases the number of flops operating on the value.
15200 The default is @option{-fno-reciprocal-math}.
15202 @opindex ffinite-math-only
15203 @item -ffinite-math-only
15204 Allow optimizations for floating-point arithmetic that assume
15205 that arguments and results are not NaNs or +-Infs.
15207 This option is not turned on by any @option{-O} option besides
15208 @option{-Ofast} since it can result in incorrect output
15209 for programs that depend on an exact implementation of IEEE or
15210 ISO rules/specifications for math functions. It may, however,
15211 yield faster code for programs that do not require the guarantees
15212 of these specifications.
15214 The default is @option{-fno-finite-math-only}.
15216 @opindex fno-signed-zeros
15217 @opindex fsigned-zeros
15218 @item -fno-signed-zeros
15219 Allow optimizations for floating-point arithmetic that ignore the
15220 signedness of zero. IEEE arithmetic specifies the behavior of
15221 distinct +0.0 and @minus{}0.0 values, which then prohibits simplification
15222 of expressions such as x+0.0 or 0.0*x (even with @option{-ffinite-math-only}).
15223 This option implies that the sign of a zero result isn't significant.
15225 The default is @option{-fsigned-zeros}.
15227 @opindex fno-trapping-math
15228 @opindex ftrapping-math
15229 @item -fno-trapping-math
15230 Compile code assuming that floating-point operations cannot generate
15231 user-visible traps. These traps include division by zero, overflow,
15232 underflow, inexact result and invalid operation. This option requires
15233 that @option{-fno-signaling-nans} be in effect. Setting this option may
15234 allow faster code if one relies on ``non-stop'' IEEE arithmetic, for example.
15236 This option is not turned on by any @option{-O} option besides
15237 @option{-Ofast} since it can result in incorrect output for programs
15238 that depend on an exact implementation of IEEE or ISO rules/specifications
15239 for math functions.
15241 The default is @option{-ftrapping-math}.
15243 Future versions of GCC may provide finer control of this setting
15244 using C99's @code{FENV_ACCESS} pragma. This command-line option
15245 will be used along with @option{-frounding-math} to specify the
15246 default state for @code{FENV_ACCESS}.
15248 @opindex frounding-math
15249 @item -frounding-math
15250 Disable transformations and optimizations that assume default floating-point
15251 rounding behavior. This is round-to-zero for all floating point
15252 to integer conversions, and round-to-nearest for all other arithmetic
15253 truncations. This option should be specified for programs that change
15254 the FP rounding mode dynamically, or that may be executed with a
15255 non-default rounding mode. This option disables constant folding of
15256 floating-point expressions at compile time (which may be affected by
15257 rounding mode) and arithmetic transformations that are unsafe in the
15258 presence of sign-dependent rounding modes.
15260 The default is @option{-fno-rounding-math}.
15262 This option is experimental and does not currently guarantee to
15263 disable all GCC optimizations that are affected by rounding mode.
15264 Future versions of GCC may provide finer control of this setting
15265 using C99's @code{FENV_ACCESS} pragma. This command-line option
15266 will be used along with @option{-ftrapping-math} to specify the
15267 default state for @code{FENV_ACCESS}.
15269 @opindex fsignaling-nans
15270 @item -fsignaling-nans
15271 Compile code assuming that IEEE signaling NaNs may generate user-visible
15272 traps during floating-point operations. Setting this option disables
15273 optimizations that may change the number of exceptions visible with
15274 signaling NaNs. This option implies @option{-ftrapping-math}.
15276 This option causes the preprocessor macro @code{__SUPPORT_SNAN__} to
15279 The default is @option{-fno-signaling-nans}.
15281 This option is experimental and does not currently guarantee to
15282 disable all GCC optimizations that affect signaling NaN behavior.
15284 @opindex fno-fp-int-builtin-inexact
15285 @opindex ffp-int-builtin-inexact
15286 @item -fno-fp-int-builtin-inexact
15287 Do not allow the built-in functions @code{ceil}, @code{floor},
15288 @code{round} and @code{trunc}, and their @code{float} and @code{long
15289 double} variants, to generate code that raises the ``inexact''
15290 floating-point exception for noninteger arguments. ISO C99 and C11
15291 allow these functions to raise the ``inexact'' exception, but ISO/IEC
15292 TS 18661-1:2014, the C bindings to IEEE 754-2008, as integrated into
15293 ISO C23, does not allow these functions to do so.
15295 The default is @option{-ffp-int-builtin-inexact}, allowing the
15296 exception to be raised, unless C23 or a later C standard is selected.
15297 This option does nothing unless @option{-ftrapping-math} is in effect.
15299 Even if @option{-fno-fp-int-builtin-inexact} is used, if the functions
15300 generate a call to a library function then the ``inexact'' exception
15301 may be raised if the library implementation does not follow TS 18661.
15303 @opindex fsingle-precision-constant
15304 @item -fsingle-precision-constant
15305 Treat floating-point constants as single precision instead of
15306 implicitly converting them to double-precision constants.
15308 @opindex fcx-limited-range
15309 @item -fcx-limited-range
15310 When enabled, this option states that a range reduction step is not
15311 needed when performing complex division. Also, there is no checking
15312 whether the result of a complex multiplication or division is @code{NaN
15313 + I*NaN}, with an attempt to rescue the situation in that case. The
15314 default is @option{-fno-cx-limited-range}, but is enabled by
15315 @option{-ffast-math}.
15317 This option controls the default setting of the ISO C99
15318 @code{CX_LIMITED_RANGE} pragma. Nevertheless, the option applies to
15321 @opindex fcx-fortran-rules
15322 @item -fcx-fortran-rules
15323 Complex multiplication and division follow Fortran rules. Range
15324 reduction is done as part of complex division, but there is no checking
15325 whether the result of a complex multiplication or division is @code{NaN
15326 + I*NaN}, with an attempt to rescue the situation in that case.
15328 The default is @option{-fno-cx-fortran-rules}.
15332 The following options control optimizations that may improve
15333 performance, but are not enabled by any @option{-O} options. This
15334 section includes experimental options that may produce broken code.
15337 @opindex fbranch-probabilities
15338 @item -fbranch-probabilities
15339 After running a program compiled with @option{-fprofile-arcs}
15340 (@pxref{Instrumentation Options}),
15341 you can compile it a second time using
15342 @option{-fbranch-probabilities}, to improve optimizations based on
15343 the number of times each branch was taken. When a program
15344 compiled with @option{-fprofile-arcs} exits, it saves arc execution
15345 counts to a file called @file{@var{sourcename}.gcda} for each source
15346 file. The information in this data file is very dependent on the
15347 structure of the generated code, so you must use the same source code
15348 and the same optimization options for both compilations.
15349 See details about the file naming in @option{-fprofile-arcs}.
15351 With @option{-fbranch-probabilities}, GCC puts a
15352 @samp{REG_BR_PROB} note on each @samp{JUMP_INSN} and @samp{CALL_INSN}.
15353 These can be used to improve optimization. Currently, they are only
15354 used in one place: in @file{reorg.cc}, instead of guessing which path a
15355 branch is most likely to take, the @samp{REG_BR_PROB} values are used to
15356 exactly determine which path is taken more often.
15358 Enabled by @option{-fprofile-use} and @option{-fauto-profile}.
15360 @opindex fprofile-values
15361 @item -fprofile-values
15362 If combined with @option{-fprofile-arcs}, it adds code so that some
15363 data about values of expressions in the program is gathered.
15365 With @option{-fbranch-probabilities}, it reads back the data gathered
15366 from profiling values of expressions for usage in optimizations.
15368 Enabled by @option{-fprofile-generate}, @option{-fprofile-use}, and
15369 @option{-fauto-profile}.
15371 @opindex fprofile-reorder-functions
15372 @item -fprofile-reorder-functions
15373 Function reordering based on profile instrumentation collects
15374 first time of execution of a function and orders these functions
15375 in ascending order.
15377 Enabled with @option{-fprofile-use}.
15381 If combined with @option{-fprofile-arcs}, this option instructs the compiler
15382 to add code to gather information about values of expressions.
15384 With @option{-fbranch-probabilities}, it reads back the data gathered
15385 and actually performs the optimizations based on them.
15386 Currently the optimizations include specialization of division operations
15387 using the knowledge about the value of the denominator.
15389 Enabled with @option{-fprofile-use} and @option{-fauto-profile}.
15391 @opindex frename-registers
15392 @item -frename-registers
15393 Attempt to avoid false dependencies in scheduled code by making use
15394 of registers left over after register allocation. This optimization
15395 most benefits processors with lots of registers. Depending on the
15396 debug information format adopted by the target, however, it can
15397 make debugging impossible, since variables no longer stay in
15398 a ``home register''.
15400 Enabled by default with @option{-funroll-loops}.
15402 @opindex fschedule-fusion
15403 @item -fschedule-fusion
15404 Performs a target dependent pass over the instruction stream to schedule
15405 instructions of same type together because target machine can execute them
15406 more efficiently if they are adjacent to each other in the instruction flow.
15408 Enabled at levels @option{-O2}, @option{-O3}, @option{-Os}.
15412 Perform tail duplication to enlarge superblock size. This transformation
15413 simplifies the control flow of the function allowing other optimizations to do
15416 Enabled by @option{-fprofile-use} and @option{-fauto-profile}.
15418 @opindex funroll-loops
15419 @item -funroll-loops
15420 Unroll loops whose number of iterations can be determined at compile time or
15421 upon entry to the loop. @option{-funroll-loops} implies
15422 @option{-frerun-cse-after-loop}, @option{-fweb} and @option{-frename-registers}.
15423 It also turns on complete loop peeling (i.e.@: complete removal of loops with
15424 a small constant number of iterations). This option makes code larger, and may
15425 or may not make it run faster.
15427 Enabled by @option{-fprofile-use} and @option{-fauto-profile}.
15429 @opindex funroll-all-loops
15430 @item -funroll-all-loops
15431 Unroll all loops, even if their number of iterations is uncertain when
15432 the loop is entered. This usually makes programs run more slowly.
15433 @option{-funroll-all-loops} implies the same options as
15434 @option{-funroll-loops}.
15436 @opindex fpeel-loops
15438 Peels loops for which there is enough information that they do not
15439 roll much (from profile feedback or static analysis). It also turns on
15440 complete loop peeling (i.e.@: complete removal of loops with small constant
15441 number of iterations).
15443 Enabled by @option{-O3}, @option{-fprofile-use}, and @option{-fauto-profile}.
15445 @opindex fmove-loop-invariants
15446 @item -fmove-loop-invariants
15447 Enables the loop invariant motion pass in the RTL loop optimizer. Enabled
15448 at level @option{-O1} and higher, except for @option{-Og}.
15450 @opindex fmove-loop-stores
15451 @item -fmove-loop-stores
15452 Enables the loop store motion pass in the GIMPLE loop optimizer. This
15453 moves invariant stores to after the end of the loop in exchange for
15454 carrying the stored value in a register across the iteration.
15455 Note for this option to have an effect @option{-ftree-loop-im} has to
15456 be enabled as well. Enabled at level @option{-O1} and higher, except
15459 @opindex fsplit-loops
15460 @item -fsplit-loops
15461 Split a loop into two if it contains a condition that's always true
15462 for one side of the iteration space and false for the other.
15464 Enabled by @option{-fprofile-use} and @option{-fauto-profile}.
15466 @opindex funswitch-loops
15467 @item -funswitch-loops
15468 Move branches with loop invariant conditions out of the loop, with duplicates
15469 of the loop on both branches (modified according to result of the condition).
15471 Enabled by @option{-fprofile-use} and @option{-fauto-profile}.
15473 @opindex fversion-loops-for-strides
15474 @item -fversion-loops-for-strides
15475 If a loop iterates over an array with a variable stride, create another
15476 version of the loop that assumes the stride is always one. For example:
15479 for (int i = 0; i < n; ++i)
15480 x[i * stride] = @dots{};
15487 for (int i = 0; i < n; ++i)
15490 for (int i = 0; i < n; ++i)
15491 x[i * stride] = @dots{};
15494 This is particularly useful for assumed-shape arrays in Fortran where
15495 (for example) it allows better vectorization assuming contiguous accesses.
15496 This flag is enabled by default at @option{-O3}.
15497 It is also enabled by @option{-fprofile-use} and @option{-fauto-profile}.
15499 @opindex ffunction-sections
15500 @opindex fdata-sections
15501 @item -ffunction-sections
15502 @itemx -fdata-sections
15503 Place each function or data item into its own section in the output
15504 file if the target supports arbitrary sections. The name of the
15505 function or the name of the data item determines the section's name
15506 in the output file.
15508 Use these options on systems where the linker can perform optimizations to
15509 improve locality of reference in the instruction space. Most systems using the
15510 ELF object format have linkers with such optimizations. On AIX, the linker
15511 rearranges sections (CSECTs) based on the call graph. The performance impact
15514 Together with a linker garbage collection (linker @option{--gc-sections}
15515 option) these options may lead to smaller statically-linked executables (after
15518 On ELF/DWARF systems these options do not degenerate the quality of the debug
15519 information. There could be issues with other object files/debug info formats.
15521 Only use these options when there are significant benefits from doing so. When
15522 you specify these options, the assembler and linker create larger object and
15523 executable files and are also slower. These options affect code generation.
15524 They prevent optimizations by the compiler and assembler using relative
15525 locations inside a translation unit since the locations are unknown until
15526 link time. An example of such an optimization is relaxing calls to short call
15529 @opindex fstdarg-opt
15531 Optimize the prologue of variadic argument functions with respect to usage of
15534 @opindex fsection-anchors
15535 @item -fsection-anchors
15536 Try to reduce the number of symbolic address calculations by using
15537 shared ``anchor'' symbols to address nearby objects. This transformation
15538 can help to reduce the number of GOT entries and GOT accesses on some
15541 For example, the implementation of the following function @code{foo}:
15544 static int a, b, c;
15545 int foo (void) @{ return a + b + c; @}
15549 usually calculates the addresses of all three variables, but if you
15550 compile it with @option{-fsection-anchors}, it accesses the variables
15551 from a common anchor point instead. The effect is similar to the
15552 following pseudocode (which isn't valid C):
15557 register int *xr = &x;
15558 return xr[&a - &x] + xr[&b - &x] + xr[&c - &x];
15562 Not all targets support this option.
15564 @opindex fzero-call-used-regs
15565 @item -fzero-call-used-regs=@var{choice}
15566 Zero call-used registers at function return to increase program
15567 security by either mitigating Return-Oriented Programming (ROP)
15568 attacks or preventing information leakage through registers.
15570 The possible values of @var{choice} are the same as for the
15571 @code{zero_call_used_regs} attribute (@pxref{Function Attributes}).
15572 The default is @samp{skip}.
15574 You can control this behavior for a specific function by using the function
15575 attribute @code{zero_call_used_regs} (@pxref{Function Attributes}).
15578 @item --param @var{name}=@var{value}
15579 In some places, GCC uses various constants to control the amount of
15580 optimization that is done. For example, GCC does not inline functions
15581 that contain more than a certain number of instructions. You can
15582 control some of these constants on the command line using the
15583 @option{--param} option.
15585 The names of specific parameters, and the meaning of the values, are
15586 tied to the internals of the compiler, and are subject to change
15587 without notice in future releases.
15589 In order to get the minimal, maximal and default values of a parameter,
15590 use the @option{--help=param -Q} options.
15592 In each case, the @var{value} is an integer. The following choices
15593 of @var{name} are recognized for all targets:
15596 @item phiopt-factor-max-stmts-live
15597 When factoring statements out of if/then/else, this is the max # of statements
15598 after the defining statement to be allow to extend the lifetime of a name
15600 @item predictable-branch-outcome
15601 When branch is predicted to be taken with probability lower than this threshold
15602 (in percent), then it is considered well predictable.
15604 @item max-rtl-if-conversion-insns
15605 RTL if-conversion tries to remove conditional branches around a block and
15606 replace them with conditionally executed instructions. This parameter
15607 gives the maximum number of instructions in a block which should be
15608 considered for if-conversion. The compiler will
15609 also use other heuristics to decide whether if-conversion is likely to be
15612 @item max-rtl-if-conversion-predictable-cost
15613 RTL if-conversion will try to remove conditional branches around a block
15614 and replace them with conditionally executed instructions. These parameters
15615 give the maximum permissible cost for the sequence that would be generated
15616 by if-conversion depending on whether the branch is statically determined
15617 to be predictable or not. The units for this parameter are the same as
15618 those for the GCC internal seq_cost metric. The compiler will try to
15619 provide a reasonable default for this parameter using the BRANCH_COST
15622 @item max-crossjump-edges
15623 The maximum number of incoming edges to consider for cross-jumping.
15624 The algorithm used by @option{-fcrossjumping} is @math{O(N^2)} in
15625 the number of edges incoming to each block. Increasing values mean
15626 more aggressive optimization, making the compilation time increase with
15627 probably small improvement in executable size.
15629 @item min-crossjump-insns
15630 The minimum number of instructions that must be matched at the end
15631 of two blocks before cross-jumping is performed on them. This
15632 value is ignored in the case where all instructions in the block being
15633 cross-jumped from are matched.
15635 @item max-grow-copy-bb-insns
15636 The maximum code size expansion factor when copying basic blocks
15637 instead of jumping. The expansion is relative to a jump instruction.
15639 @item max-goto-duplication-insns
15640 The maximum number of instructions to duplicate to a block that jumps
15641 to a computed goto. To avoid @math{O(N^2)} behavior in a number of
15642 passes, GCC factors computed gotos early in the compilation process,
15643 and unfactors them as late as possible. Only computed jumps at the
15644 end of a basic blocks with no more than max-goto-duplication-insns are
15647 @item max-delay-slot-insn-search
15648 The maximum number of instructions to consider when looking for an
15649 instruction to fill a delay slot. If more than this arbitrary number of
15650 instructions are searched, the time savings from filling the delay slot
15651 are minimal, so stop searching. Increasing values mean more
15652 aggressive optimization, making the compilation time increase with probably
15653 small improvement in execution time.
15655 @item max-delay-slot-live-search
15656 When trying to fill delay slots, the maximum number of instructions to
15657 consider when searching for a block with valid live register
15658 information. Increasing this arbitrarily chosen value means more
15659 aggressive optimization, increasing the compilation time. This parameter
15660 should be removed when the delay slot code is rewritten to maintain the
15661 control-flow graph.
15663 @item max-gcse-memory
15664 The approximate maximum amount of memory in @code{kB} that can be allocated in
15665 order to perform the global common subexpression elimination
15666 optimization. If more memory than specified is required, the
15667 optimization is not done.
15669 @item max-gcse-insertion-ratio
15670 If the ratio of expression insertions to deletions is larger than this value
15671 for any expression, then RTL PRE inserts or removes the expression and thus
15672 leaves partially redundant computations in the instruction stream.
15674 @item max-pending-list-length
15675 The maximum number of pending dependencies scheduling allows
15676 before flushing the current state and starting over. Large functions
15677 with few branches or calls can create excessively large lists which
15678 needlessly consume memory and resources.
15680 @item max-modulo-backtrack-attempts
15681 The maximum number of backtrack attempts the scheduler should make
15682 when modulo scheduling a loop. Larger values can exponentially increase
15685 @item max-inline-functions-called-once-loop-depth
15686 Maximal loop depth of a call considered by inline heuristics that tries to
15687 inline all functions called once.
15689 @item max-inline-functions-called-once-insns
15690 Maximal estimated size of functions produced while inlining functions called
15693 @item max-inline-insns-single
15694 Several parameters control the tree inliner used in GCC@. This number sets the
15695 maximum number of instructions (counted in GCC's internal representation) in a
15696 single function that the tree inliner considers for inlining. This only
15697 affects functions declared inline and methods implemented in a class
15701 @item max-inline-insns-auto
15702 When you use @option{-finline-functions} (included in @option{-O3}),
15703 a lot of functions that would otherwise not be considered for inlining
15704 by the compiler are investigated. To those functions, a different
15705 (more restrictive) limit compared to functions declared inline can
15706 be applied (@option{--param max-inline-insns-auto}).
15708 @item max-inline-insns-small
15709 This is bound applied to calls which are considered relevant with
15710 @option{-finline-small-functions}.
15712 @item max-inline-insns-size
15713 This is bound applied to calls which are optimized for size. Small growth
15714 may be desirable to anticipate optimization oppurtunities exposed by inlining.
15716 @item uninlined-function-insns
15717 Number of instructions accounted by inliner for function overhead such as
15718 function prologue and epilogue.
15720 @item uninlined-function-time
15721 Extra time accounted by inliner for function overhead such as time needed to
15722 execute function prologue and epilogue.
15724 @item inline-heuristics-hint-percent
15725 The scale (in percents) applied to @option{inline-insns-single},
15726 @option{inline-insns-single-O2}, @option{inline-insns-auto}
15727 when inline heuristics hints that inlining is
15728 very profitable (will enable later optimizations).
15730 @item uninlined-thunk-insns
15731 @item uninlined-thunk-time
15732 Same as @option{--param uninlined-function-insns} and
15733 @option{--param uninlined-function-time} but applied to function thunks.
15735 @item inline-min-speedup
15736 When estimated performance improvement of caller + callee runtime exceeds this
15737 threshold (in percent), the function can be inlined regardless of the limit on
15738 @option{--param max-inline-insns-single} and @option{--param
15739 max-inline-insns-auto}.
15741 @item large-function-insns
15742 The limit specifying really large functions. For functions larger than this
15743 limit after inlining, inlining is constrained by
15744 @option{--param large-function-growth}. This parameter is useful primarily
15745 to avoid extreme compilation time caused by non-linear algorithms used by the
15748 @item large-function-growth
15749 Specifies maximal growth of large function caused by inlining in percents.
15750 For example, parameter value 100 limits large function growth to 2.0 times
15753 @item large-unit-insns
15754 The limit specifying large translation unit. Growth caused by inlining of
15755 units larger than this limit is limited by @option{--param inline-unit-growth}.
15756 For small units this might be too tight.
15757 For example, consider a unit consisting of function A
15758 that is inline and B that just calls A three times. If B is small relative to
15759 A, the growth of unit is 300\% and yet such inlining is very sane. For very
15760 large units consisting of small inlineable functions, however, the overall unit
15761 growth limit is needed to avoid exponential explosion of code size. Thus for
15762 smaller units, the size is increased to @option{--param large-unit-insns}
15763 before applying @option{--param inline-unit-growth}.
15766 Maximum number of concurrently open C++ module files when lazy loading.
15768 @item inline-unit-growth
15769 Specifies maximal overall growth of the compilation unit caused by inlining.
15770 For example, parameter value 20 limits unit growth to 1.2 times the original
15771 size. Cold functions (either marked cold via an attribute or by profile
15772 feedback) are not accounted into the unit size.
15774 @item ipa-cp-unit-growth
15775 Specifies maximal overall growth of the compilation unit caused by
15776 interprocedural constant propagation. For example, parameter value 10 limits
15777 unit growth to 1.1 times the original size.
15779 @item ipa-cp-large-unit-insns
15780 The size of translation unit that IPA-CP pass considers large.
15782 @item large-stack-frame
15783 The limit specifying large stack frames. While inlining the algorithm is trying
15784 to not grow past this limit too much.
15786 @item large-stack-frame-growth
15787 Specifies maximal growth of large stack frames caused by inlining in percents.
15788 For example, parameter value 1000 limits large stack frame growth to 11 times
15791 @item max-inline-insns-recursive
15792 @itemx max-inline-insns-recursive-auto
15793 Specifies the maximum number of instructions an out-of-line copy of a
15794 self-recursive inline
15795 function can grow into by performing recursive inlining.
15797 @option{--param max-inline-insns-recursive} applies to functions
15799 For functions not declared inline, recursive inlining
15800 happens only when @option{-finline-functions} (included in @option{-O3}) is
15801 enabled; @option{--param max-inline-insns-recursive-auto} applies instead.
15803 @item max-inline-recursive-depth
15804 @itemx max-inline-recursive-depth-auto
15805 Specifies the maximum recursion depth used for recursive inlining.
15807 @option{--param max-inline-recursive-depth} applies to functions
15808 declared inline. For functions not declared inline, recursive inlining
15809 happens only when @option{-finline-functions} (included in @option{-O3}) is
15810 enabled; @option{--param max-inline-recursive-depth-auto} applies instead.
15812 @item min-inline-recursive-probability
15813 Recursive inlining is profitable only for function having deep recursion
15814 in average and can hurt for function having little recursion depth by
15815 increasing the prologue size or complexity of function body to other
15818 When profile feedback is available (see @option{-fprofile-generate}) the actual
15819 recursion depth can be guessed from the probability that function recurses
15820 via a given call expression. This parameter limits inlining only to call
15821 expressions whose probability exceeds the given threshold (in percents).
15823 @item early-inlining-insns
15824 Specify growth that the early inliner can make. In effect it increases
15825 the amount of inlining for code having a large abstraction penalty.
15827 @item max-early-inliner-iterations
15828 Limit of iterations of the early inliner. This basically bounds
15829 the number of nested indirect calls the early inliner can resolve.
15830 Deeper chains are still handled by late inlining.
15832 @item comdat-sharing-probability
15833 Probability (in percent) that C++ inline function with comdat visibility
15834 are shared across multiple compilation units.
15836 @item modref-max-bases
15837 @item modref-max-refs
15838 @item modref-max-accesses
15839 Specifies the maximal number of base pointers, references and accesses stored
15840 for a single function by mod/ref analysis.
15842 @item modref-max-tests
15843 Specifies the maxmal number of tests alias oracle can perform to disambiguate
15844 memory locations using the mod/ref information. This parameter ought to be
15845 bigger than @option{--param modref-max-bases} and @option{--param
15848 @item modref-max-depth
15849 Specifies the maximum depth of DFS walk used by modref escape analysis.
15850 Setting to 0 disables the analysis completely.
15852 @item modref-max-escape-points
15853 Specifies the maximum number of escape points tracked by modref per SSA-name.
15855 @item modref-max-adjustments
15856 Specifies the maximum number the access range is enlarged during modref dataflow
15859 @item profile-func-internal-id
15860 A parameter to control whether to use function internal id in profile
15861 database lookup. If the value is 0, the compiler uses an id that
15862 is based on function assembler name and filename, which makes old profile
15863 data more tolerant to source changes such as function reordering etc.
15865 @item min-vect-loop-bound
15866 The minimum number of iterations under which loops are not vectorized
15867 when @option{-ftree-vectorize} is used. The number of iterations after
15868 vectorization needs to be greater than the value specified by this option
15869 to allow vectorization.
15871 @item gcse-cost-distance-ratio
15872 Scaling factor in calculation of maximum distance an expression
15873 can be moved by GCSE optimizations. This is currently supported only in the
15874 code hoisting pass. The bigger the ratio, the more aggressive code hoisting
15875 is with simple expressions, i.e., the expressions that have cost
15876 less than @option{gcse-unrestricted-cost}. Specifying 0 disables
15877 hoisting of simple expressions.
15879 @item gcse-unrestricted-cost
15880 Cost, roughly measured as the cost of a single typical machine
15881 instruction, at which GCSE optimizations do not constrain
15882 the distance an expression can travel. This is currently
15883 supported only in the code hoisting pass. The lesser the cost,
15884 the more aggressive code hoisting is. Specifying 0
15885 allows all expressions to travel unrestricted distances.
15887 @item max-hoist-depth
15888 The depth of search in the dominator tree for expressions to hoist.
15889 This is used to avoid quadratic behavior in hoisting algorithm.
15890 The value of 0 does not limit on the search, but may slow down compilation
15893 @item max-tail-merge-comparisons
15894 The maximum amount of similar bbs to compare a bb with. This is used to
15895 avoid quadratic behavior in tree tail merging.
15897 @item max-tail-merge-iterations
15898 The maximum amount of iterations of the pass over the function. This is used to
15899 limit compilation time in tree tail merging.
15901 @item store-merging-allow-unaligned
15902 Allow the store merging pass to introduce unaligned stores if it is legal to
15905 @item max-stores-to-merge
15906 The maximum number of stores to attempt to merge into wider stores in the store
15909 @item max-store-chains-to-track
15910 The maximum number of store chains to track at the same time in the attempt
15911 to merge them into wider stores in the store merging pass.
15913 @item max-stores-to-track
15914 The maximum number of stores to track at the same time in the attemt to
15915 to merge them into wider stores in the store merging pass.
15917 @item max-unrolled-insns
15918 The maximum number of instructions that a loop may have to be unrolled.
15919 If a loop is unrolled, this parameter also determines how many times
15920 the loop code is unrolled.
15922 @item max-average-unrolled-insns
15923 The maximum number of instructions biased by probabilities of their execution
15924 that a loop may have to be unrolled. If a loop is unrolled,
15925 this parameter also determines how many times the loop code is unrolled.
15927 @item max-unroll-times
15928 The maximum number of unrollings of a single loop.
15930 @item max-peeled-insns
15931 The maximum number of instructions that a loop may have to be peeled.
15932 If a loop is peeled, this parameter also determines how many times
15933 the loop code is peeled.
15935 @item max-peel-times
15936 The maximum number of peelings of a single loop.
15938 @item max-peel-branches
15939 The maximum number of branches on the hot path through the peeled sequence.
15941 @item max-completely-peeled-insns
15942 The maximum number of insns of a completely peeled loop.
15944 @item max-completely-peel-times
15945 The maximum number of iterations of a loop to be suitable for complete peeling.
15947 @item max-completely-peel-loop-nest-depth
15948 The maximum depth of a loop nest suitable for complete peeling.
15950 @item max-unswitch-insns
15951 The maximum number of insns of an unswitched loop.
15953 @item max-unswitch-depth
15954 The maximum depth of a loop nest to be unswitched.
15956 @item lim-expensive
15957 The minimum cost of an expensive expression in the loop invariant motion.
15959 @item min-loop-cond-split-prob
15960 When FDO profile information is available, @option{min-loop-cond-split-prob}
15961 specifies minimum threshold for probability of semi-invariant condition
15962 statement to trigger loop split.
15964 @item iv-consider-all-candidates-bound
15965 Bound on number of candidates for induction variables, below which
15966 all candidates are considered for each use in induction variable
15967 optimizations. If there are more candidates than this,
15968 only the most relevant ones are considered to avoid quadratic time complexity.
15970 @item iv-max-considered-uses
15971 The induction variable optimizations give up on loops that contain more
15972 induction variable uses.
15974 @item iv-always-prune-cand-set-bound
15975 If the number of candidates in the set is smaller than this value,
15976 always try to remove unnecessary ivs from the set
15977 when adding a new one.
15979 @item avg-loop-niter
15980 Average number of iterations of a loop.
15982 @item dse-max-object-size
15983 Maximum size (in bytes) of objects tracked bytewise by dead store elimination.
15984 Larger values may result in larger compilation times.
15986 @item dse-max-alias-queries-per-store
15987 Maximum number of queries into the alias oracle per store.
15988 Larger values result in larger compilation times and may result in more
15989 removed dead stores.
15991 @item scev-max-expr-size
15992 Bound on size of expressions used in the scalar evolutions analyzer.
15993 Large expressions slow the analyzer.
15995 @item scev-max-expr-complexity
15996 Bound on the complexity of the expressions in the scalar evolutions analyzer.
15997 Complex expressions slow the analyzer.
15999 @item max-tree-if-conversion-phi-args
16000 Maximum number of arguments in a PHI supported by TREE if conversion
16001 unless the loop is marked with simd pragma.
16003 @item vect-max-layout-candidates
16004 The maximum number of possible vector layouts (such as permutations)
16005 to consider when optimizing to-be-vectorized code.
16007 @item vect-max-version-for-alignment-checks
16008 The maximum number of run-time checks that can be performed when
16009 doing loop versioning for alignment in the vectorizer.
16011 @item vect-max-version-for-alias-checks
16012 The maximum number of run-time checks that can be performed when
16013 doing loop versioning for alias in the vectorizer.
16015 @item vect-max-peeling-for-alignment
16016 The maximum number of loop peels to enhance access alignment
16017 for vectorizer. Value -1 means no limit.
16019 @item max-iterations-to-track
16020 The maximum number of iterations of a loop the brute-force algorithm
16021 for analysis of the number of iterations of the loop tries to evaluate.
16023 @item hot-bb-count-fraction
16024 The denominator n of fraction 1/n of the maximal execution count of a
16025 basic block in the entire program that a basic block needs to at least
16026 have in order to be considered hot. The default is 10000, which means
16027 that a basic block is considered hot if its execution count is greater
16028 than 1/10000 of the maximal execution count. 0 means that it is never
16029 considered hot. Used in non-LTO mode.
16031 @item hot-bb-count-ws-permille
16032 The number of most executed permilles, ranging from 0 to 1000, of the
16033 profiled execution of the entire program to which the execution count
16034 of a basic block must be part of in order to be considered hot. The
16035 default is 990, which means that a basic block is considered hot if
16036 its execution count contributes to the upper 990 permilles, or 99.0%,
16037 of the profiled execution of the entire program. 0 means that it is
16038 never considered hot. Used in LTO mode.
16040 @item hot-bb-frequency-fraction
16041 The denominator n of fraction 1/n of the execution frequency of the
16042 entry block of a function that a basic block of this function needs
16043 to at least have in order to be considered hot. The default is 1000,
16044 which means that a basic block is considered hot in a function if it
16045 is executed more frequently than 1/1000 of the frequency of the entry
16046 block of the function. 0 means that it is never considered hot.
16048 @item unlikely-bb-count-fraction
16049 The denominator n of fraction 1/n of the number of profiled runs of
16050 the entire program below which the execution count of a basic block
16051 must be in order for the basic block to be considered unlikely executed.
16052 The default is 20, which means that a basic block is considered unlikely
16053 executed if it is executed in fewer than 1/20, or 5%, of the runs of
16054 the program. 0 means that it is always considered unlikely executed.
16056 @item max-predicted-iterations
16057 The maximum number of loop iterations we predict statically. This is useful
16058 in cases where a function contains a single loop with known bound and
16059 another loop with unknown bound.
16060 The known number of iterations is predicted correctly, while
16061 the unknown number of iterations average to roughly 10. This means that the
16062 loop without bounds appears artificially cold relative to the other one.
16064 @item builtin-expect-probability
16065 Control the probability of the expression having the specified value. This
16066 parameter takes a percentage (i.e.@: 0 ... 100) as input.
16068 @item builtin-string-cmp-inline-length
16069 The maximum length of a constant string for a builtin string cmp call
16070 eligible for inlining.
16072 @item align-threshold
16074 Select fraction of the maximal frequency of executions of a basic block in
16075 a function to align the basic block.
16077 @item align-loop-iterations
16079 A loop expected to iterate at least the selected number of iterations is
16082 @item tracer-dynamic-coverage
16083 @itemx tracer-dynamic-coverage-feedback
16085 This value is used to limit superblock formation once the given percentage of
16086 executed instructions is covered. This limits unnecessary code size
16089 The @option{tracer-dynamic-coverage-feedback} parameter
16090 is used only when profile
16091 feedback is available. The real profiles (as opposed to statically estimated
16092 ones) are much less balanced allowing the threshold to be larger value.
16094 @item tracer-max-code-growth
16095 Stop tail duplication once code growth has reached given percentage. This is
16096 a rather artificial limit, as most of the duplicates are eliminated later in
16097 cross jumping, so it may be set to much higher values than is the desired code
16100 @item tracer-min-branch-ratio
16102 Stop reverse growth when the reverse probability of best edge is less than this
16103 threshold (in percent).
16105 @item tracer-min-branch-probability
16106 @itemx tracer-min-branch-probability-feedback
16108 Stop forward growth if the best edge has probability lower than this
16111 Similarly to @option{tracer-dynamic-coverage} two parameters are
16112 provided. @option{tracer-min-branch-probability-feedback} is used for
16113 compilation with profile feedback and @option{tracer-min-branch-probability}
16114 compilation without. The value for compilation with profile feedback
16115 needs to be more conservative (higher) in order to make tracer
16118 @item stack-clash-protection-guard-size
16119 Specify the size of the operating system provided stack guard as
16120 2 raised to @var{num} bytes. Higher values may reduce the
16121 number of explicit probes, but a value larger than the operating system
16122 provided guard will leave code vulnerable to stack clash style attacks.
16124 @item stack-clash-protection-probe-interval
16125 Stack clash protection involves probing stack space as it is allocated. This
16126 param controls the maximum distance between probes into the stack as 2 raised
16127 to @var{num} bytes. Higher values may reduce the number of explicit probes, but a value
16128 larger than the operating system provided guard will leave code vulnerable to
16129 stack clash style attacks.
16131 @item max-cse-path-length
16133 The maximum number of basic blocks on path that CSE considers.
16135 @item max-cse-insns
16136 The maximum number of instructions CSE processes before flushing.
16138 @item ggc-min-expand
16140 GCC uses a garbage collector to manage its own memory allocation. This
16141 parameter specifies the minimum percentage by which the garbage
16142 collector's heap should be allowed to expand between collections.
16143 Tuning this may improve compilation speed; it has no effect on code
16146 The default is 30% + 70% * (RAM/1GB) with an upper bound of 100% when
16147 RAM >= 1GB@. If @code{getrlimit} is available, the notion of ``RAM'' is
16148 the smallest of actual RAM and @code{RLIMIT_DATA} or @code{RLIMIT_AS}. If
16149 GCC is not able to calculate RAM on a particular platform, the lower
16150 bound of 30% is used. Setting this parameter and
16151 @option{ggc-min-heapsize} to zero causes a full collection to occur at
16152 every opportunity. This is extremely slow, but can be useful for
16155 @item ggc-min-heapsize
16157 Minimum size of the garbage collector's heap before it begins bothering
16158 to collect garbage. The first collection occurs after the heap expands
16159 by @option{ggc-min-expand}% beyond @option{ggc-min-heapsize}. Again,
16160 tuning this may improve compilation speed, and has no effect on code
16163 The default is the smaller of RAM/8, RLIMIT_RSS, or a limit that
16164 tries to ensure that RLIMIT_DATA or RLIMIT_AS are not exceeded, but
16165 with a lower bound of 4096 (four megabytes) and an upper bound of
16166 131072 (128 megabytes). If GCC is not able to calculate RAM on a
16167 particular platform, the lower bound is used. Setting this parameter
16168 very large effectively disables garbage collection. Setting this
16169 parameter and @option{ggc-min-expand} to zero causes a full collection
16170 to occur at every opportunity.
16172 @item max-reload-search-insns
16173 The maximum number of instruction reload should look backward for equivalent
16174 register. Increasing values mean more aggressive optimization, making the
16175 compilation time increase with probably slightly better performance.
16177 @item max-cselib-memory-locations
16178 The maximum number of memory locations cselib should take into account.
16179 Increasing values mean more aggressive optimization, making the compilation time
16180 increase with probably slightly better performance.
16182 @item max-sched-ready-insns
16183 The maximum number of instructions ready to be issued the scheduler should
16184 consider at any given time during the first scheduling pass. Increasing
16185 values mean more thorough searches, making the compilation time increase
16186 with probably little benefit.
16188 @item max-sched-region-blocks
16189 The maximum number of blocks in a region to be considered for
16190 interblock scheduling.
16192 @item max-pipeline-region-blocks
16193 The maximum number of blocks in a region to be considered for
16194 pipelining in the selective scheduler.
16196 @item max-sched-region-insns
16197 The maximum number of insns in a region to be considered for
16198 interblock scheduling.
16200 @item max-pipeline-region-insns
16201 The maximum number of insns in a region to be considered for
16202 pipelining in the selective scheduler.
16204 @item min-spec-prob
16205 The minimum probability (in percents) of reaching a source block
16206 for interblock speculative scheduling.
16208 @item max-sched-extend-regions-iters
16209 The maximum number of iterations through CFG to extend regions.
16210 A value of 0 disables region extensions.
16212 @item max-sched-insn-conflict-delay
16213 The maximum conflict delay for an insn to be considered for speculative motion.
16215 @item sched-spec-prob-cutoff
16216 The minimal probability of speculation success (in percents), so that
16217 speculative insns are scheduled.
16219 @item sched-state-edge-prob-cutoff
16220 The minimum probability an edge must have for the scheduler to save its
16223 @item sched-mem-true-dep-cost
16224 Minimal distance (in CPU cycles) between store and load targeting same
16227 @item selsched-max-lookahead
16228 The maximum size of the lookahead window of selective scheduling. It is a
16229 depth of search for available instructions.
16231 @item selsched-max-sched-times
16232 The maximum number of times that an instruction is scheduled during
16233 selective scheduling. This is the limit on the number of iterations
16234 through which the instruction may be pipelined.
16236 @item selsched-insns-to-rename
16237 The maximum number of best instructions in the ready list that are considered
16238 for renaming in the selective scheduler.
16241 The minimum value of stage count that swing modulo scheduler
16244 @item max-last-value-rtl
16245 The maximum size measured as number of RTLs that can be recorded in an expression
16246 in combiner for a pseudo register as last known value of that register.
16248 @item max-combine-insns
16249 The maximum number of instructions the RTL combiner tries to combine.
16251 @item integer-share-limit
16252 Small integer constants can use a shared data structure, reducing the
16253 compiler's memory usage and increasing its speed. This sets the maximum
16254 value of a shared integer constant.
16256 @item ssp-buffer-size
16257 The minimum size of buffers (i.e.@: arrays) that receive stack smashing
16258 protection when @option{-fstack-protector} is used.
16260 @item min-size-for-stack-sharing
16261 The minimum size of variables taking part in stack slot sharing when not
16264 @item max-jump-thread-duplication-stmts
16265 Maximum number of statements allowed in a block that needs to be
16266 duplicated when threading jumps.
16268 @item max-jump-thread-paths
16269 The maximum number of paths to consider when searching for jump threading
16270 opportunities. When arriving at a block, incoming edges are only considered
16271 if the number of paths to be searched so far multiplied by the number of
16272 incoming edges does not exhaust the specified maximum number of paths to
16275 @item max-fields-for-field-sensitive
16276 Maximum number of fields in a structure treated in
16277 a field sensitive manner during pointer analysis.
16279 @item prefetch-latency
16280 Estimate on average number of instructions that are executed before
16281 prefetch finishes. The distance prefetched ahead is proportional
16282 to this constant. Increasing this number may also lead to less
16283 streams being prefetched (see @option{simultaneous-prefetches}).
16285 @item simultaneous-prefetches
16286 Maximum number of prefetches that can run at the same time.
16288 @item l1-cache-line-size
16289 The size of cache line in L1 data cache, in bytes.
16291 @item l1-cache-size
16292 The size of L1 data cache, in kilobytes.
16294 @item l2-cache-size
16295 The size of L2 data cache, in kilobytes.
16297 @item prefetch-dynamic-strides
16298 Whether the loop array prefetch pass should issue software prefetch hints
16299 for strides that are non-constant. In some cases this may be
16300 beneficial, though the fact the stride is non-constant may make it
16301 hard to predict when there is clear benefit to issuing these hints.
16303 Set to 1 if the prefetch hints should be issued for non-constant
16304 strides. Set to 0 if prefetch hints should be issued only for strides that
16305 are known to be constant and below @option{prefetch-minimum-stride}.
16307 @item prefetch-minimum-stride
16308 Minimum constant stride, in bytes, to start using prefetch hints for. If
16309 the stride is less than this threshold, prefetch hints will not be issued.
16311 This setting is useful for processors that have hardware prefetchers, in
16312 which case there may be conflicts between the hardware prefetchers and
16313 the software prefetchers. If the hardware prefetchers have a maximum
16314 stride they can handle, it should be used here to improve the use of
16315 software prefetchers.
16317 A value of -1 means we don't have a threshold and therefore
16318 prefetch hints can be issued for any constant stride.
16320 This setting is only useful for strides that are known and constant.
16322 @item destructive-interference-size
16323 @item constructive-interference-size
16324 The values for the C++17 variables
16325 @code{std::hardware_destructive_interference_size} and
16326 @code{std::hardware_constructive_interference_size}. The destructive
16327 interference size is the minimum recommended offset between two
16328 independent concurrently-accessed objects; the constructive
16329 interference size is the maximum recommended size of contiguous memory
16330 accessed together. Typically both will be the size of an L1 cache
16331 line for the target, in bytes. For a generic target covering a range of L1
16332 cache line sizes, typically the constructive interference size will be
16333 the small end of the range and the destructive size will be the large
16336 The destructive interference size is intended to be used for layout,
16337 and thus has ABI impact. The default value is not expected to be
16338 stable, and on some targets varies with @option{-mtune}, so use of
16339 this variable in a context where ABI stability is important, such as
16340 the public interface of a library, is strongly discouraged; if it is
16341 used in that context, users can stabilize the value using this
16344 The constructive interference size is less sensitive, as it is
16345 typically only used in a @samp{static_assert} to make sure that a type
16346 fits within a cache line.
16348 See also @option{-Winterference-size}.
16350 @item loop-interchange-max-num-stmts
16351 The maximum number of stmts in a loop to be interchanged.
16353 @item loop-interchange-stride-ratio
16354 The minimum ratio between stride of two loops for interchange to be profitable.
16356 @item min-insn-to-prefetch-ratio
16357 The minimum ratio between the number of instructions and the
16358 number of prefetches to enable prefetching in a loop.
16360 @item prefetch-min-insn-to-mem-ratio
16361 The minimum ratio between the number of instructions and the
16362 number of memory references to enable prefetching in a loop.
16364 @item use-canonical-types
16365 Whether the compiler should use the ``canonical'' type system.
16366 Should always be 1, which uses a more efficient internal
16367 mechanism for comparing types in C++ and Objective-C++. However, if
16368 bugs in the canonical type system are causing compilation failures,
16369 set this value to 0 to disable canonical types.
16371 @item switch-conversion-max-branch-ratio
16372 Switch initialization conversion refuses to create arrays that are
16373 bigger than @option{switch-conversion-max-branch-ratio} times the number of
16374 branches in the switch.
16376 @item max-partial-antic-length
16377 Maximum length of the partial antic set computed during the tree
16378 partial redundancy elimination optimization (@option{-ftree-pre}) when
16379 optimizing at @option{-O3} and above. For some sorts of source code
16380 the enhanced partial redundancy elimination optimization can run away,
16381 consuming all of the memory available on the host machine. This
16382 parameter sets a limit on the length of the sets that are computed,
16383 which prevents the runaway behavior. Setting a value of 0 for
16384 this parameter allows an unlimited set length.
16386 @item rpo-vn-max-loop-depth
16387 Maximum loop depth that is value-numbered optimistically.
16388 When the limit hits the innermost
16389 @var{rpo-vn-max-loop-depth} loops and the outermost loop in the
16390 loop nest are value-numbered optimistically and the remaining ones not.
16392 @item sccvn-max-alias-queries-per-access
16393 Maximum number of alias-oracle queries we perform when looking for
16394 redundancies for loads and stores. If this limit is hit the search
16395 is aborted and the load or store is not considered redundant. The
16396 number of queries is algorithmically limited to the number of
16397 stores on all paths from the load to the function entry.
16399 @item ira-max-loops-num
16400 IRA uses regional register allocation by default. If a function
16401 contains more loops than the number given by this parameter, only at most
16402 the given number of the most frequently-executed loops form regions
16403 for regional register allocation.
16405 @item ira-max-conflict-table-size
16406 Although IRA uses a sophisticated algorithm to compress the conflict
16407 table, the table can still require excessive amounts of memory for
16408 huge functions. If the conflict table for a function could be more
16409 than the size in MB given by this parameter, the register allocator
16410 instead uses a faster, simpler, and lower-quality
16411 algorithm that does not require building a pseudo-register conflict table.
16413 @item ira-loop-reserved-regs
16414 IRA can be used to evaluate more accurate register pressure in loops
16415 for decisions to move loop invariants (see @option{-O3}). The number
16416 of available registers reserved for some other purposes is given
16417 by this parameter. Default of the parameter
16418 is the best found from numerous experiments.
16420 @item ira-consider-dup-in-all-alts
16421 Make IRA to consider matching constraint (duplicated operand number)
16422 heavily in all available alternatives for preferred register class.
16423 If it is set as zero, it means IRA only respects the matching
16424 constraint when it's in the only available alternative with an
16425 appropriate register class. Otherwise, it means IRA will check all
16426 available alternatives for preferred register class even if it has
16427 found some choice with an appropriate register class and respect the
16428 found qualified matching constraint.
16430 @item ira-simple-lra-insn-threshold
16431 Approximate function insn number in 1K units triggering simple local RA.
16433 @item lra-inheritance-ebb-probability-cutoff
16434 LRA tries to reuse values reloaded in registers in subsequent insns.
16435 This optimization is called inheritance. EBB is used as a region to
16436 do this optimization. The parameter defines a minimal fall-through
16437 edge probability in percentage used to add BB to inheritance EBB in
16438 LRA. The default value was chosen
16439 from numerous runs of SPEC2000 on x86-64.
16441 @item loop-invariant-max-bbs-in-loop
16442 Loop invariant motion can be very expensive, both in compilation time and
16443 in amount of needed compile-time memory, with very large loops. Loops
16444 with more basic blocks than this parameter won't have loop invariant
16445 motion optimization performed on them.
16447 @item loop-max-datarefs-for-datadeps
16448 Building data dependencies is expensive for very large loops. This
16449 parameter limits the number of data references in loops that are
16450 considered for data dependence analysis. These large loops are no
16451 handled by the optimizations using loop data dependencies.
16453 @item max-vartrack-size
16454 Sets a maximum number of hash table slots to use during variable
16455 tracking dataflow analysis of any function. If this limit is exceeded
16456 with variable tracking at assignments enabled, analysis for that
16457 function is retried without it, after removing all debug insns from
16458 the function. If the limit is exceeded even without debug insns, var
16459 tracking analysis is completely disabled for the function. Setting
16460 the parameter to zero makes it unlimited.
16462 @item max-vartrack-expr-depth
16463 Sets a maximum number of recursion levels when attempting to map
16464 variable names or debug temporaries to value expressions. This trades
16465 compilation time for more complete debug information. If this is set too
16466 low, value expressions that are available and could be represented in
16467 debug information may end up not being used; setting this higher may
16468 enable the compiler to find more complex debug expressions, but compile
16469 time and memory use may grow.
16471 @item max-debug-marker-count
16472 Sets a threshold on the number of debug markers (e.g.@: begin stmt
16473 markers) to avoid complexity explosion at inlining or expanding to RTL.
16474 If a function has more such gimple stmts than the set limit, such stmts
16475 will be dropped from the inlined copy of a function, and from its RTL
16478 @item min-nondebug-insn-uid
16479 Use uids starting at this parameter for nondebug insns. The range below
16480 the parameter is reserved exclusively for debug insns created by
16481 @option{-fvar-tracking-assignments}, but debug insns may get
16482 (non-overlapping) uids above it if the reserved range is exhausted.
16484 @item ipa-sra-deref-prob-threshold
16485 IPA-SRA replaces a pointer which is known not be NULL with one or more
16486 new parameters only when the probability (in percent, relative to
16487 function entry) of it being dereferenced is higher than this parameter.
16489 @item ipa-sra-ptr-growth-factor
16490 IPA-SRA replaces a pointer to an aggregate with one or more new
16491 parameters only when their cumulative size is less or equal to
16492 @option{ipa-sra-ptr-growth-factor} times the size of the original
16495 @item ipa-sra-ptrwrap-growth-factor
16496 Additional maximum allowed growth of total size of new parameters
16497 that ipa-sra replaces a pointer to an aggregate with,
16498 if it points to a local variable that the caller only writes to and
16499 passes it as an argument to other functions.
16501 @item ipa-sra-max-replacements
16502 Maximum pieces of an aggregate that IPA-SRA tracks. As a
16503 consequence, it is also the maximum number of replacements of a formal
16506 @item sra-max-scalarization-size-Ospeed
16507 @itemx sra-max-scalarization-size-Osize
16508 The two Scalar Reduction of Aggregates passes (SRA and IPA-SRA) aim to
16509 replace scalar parts of aggregates with uses of independent scalar
16510 variables. These parameters control the maximum size, in storage units,
16511 of aggregate which is considered for replacement when compiling for
16513 (@option{sra-max-scalarization-size-Ospeed}) or size
16514 (@option{sra-max-scalarization-size-Osize}) respectively.
16516 @item sra-max-propagations
16517 The maximum number of artificial accesses that Scalar Replacement of
16518 Aggregates (SRA) will track, per one local variable, in order to
16519 facilitate copy propagation.
16521 @item tm-max-aggregate-size
16522 When making copies of thread-local variables in a transaction, this
16523 parameter specifies the size in bytes after which variables are
16524 saved with the logging functions as opposed to save/restore code
16525 sequence pairs. This option only applies when using
16528 @item graphite-max-nb-scop-params
16529 To avoid exponential effects in the Graphite loop transforms, the
16530 number of parameters in a Static Control Part (SCoP) is bounded.
16531 A value of zero can be used to lift
16532 the bound. A variable whose value is unknown at compilation time and
16533 defined outside a SCoP is a parameter of the SCoP.
16535 @item hardcfr-max-blocks
16536 Disable @option{-fharden-control-flow-redundancy} for functions with a
16537 larger number of blocks than the specified value. Zero removes any
16540 @item hardcfr-max-inline-blocks
16541 Force @option{-fharden-control-flow-redundancy} to use out-of-line
16542 checking for functions with a larger number of basic blocks than the
16545 @item loop-block-tile-size
16546 Loop blocking or strip mining transforms, enabled with
16547 @option{-floop-block} or @option{-floop-strip-mine}, strip mine each
16548 loop in the loop nest by a given number of iterations. The strip
16549 length can be changed using the @option{loop-block-tile-size}
16552 @item ipa-jump-function-lookups
16553 Specifies number of statements visited during jump function offset discovery.
16555 @item ipa-cp-value-list-size
16556 IPA-CP attempts to track all possible values and types passed to a function's
16557 parameter in order to propagate them and perform devirtualization.
16558 @option{ipa-cp-value-list-size} is the maximum number of values and types it
16559 stores per one formal parameter of a function.
16561 @item ipa-cp-eval-threshold
16562 IPA-CP calculates its own score of cloning profitability heuristics
16563 and performs those cloning opportunities with scores that exceed
16564 @option{ipa-cp-eval-threshold}.
16566 @item ipa-cp-max-recursive-depth
16567 Maximum depth of recursive cloning for self-recursive function.
16569 @item ipa-cp-min-recursive-probability
16570 Recursive cloning only when the probability of call being executed exceeds
16573 @item ipa-cp-profile-count-base
16574 When using @option{-fprofile-use} option, IPA-CP will consider the measured
16575 execution count of a call graph edge at this percentage position in their
16576 histogram as the basis for its heuristics calculation.
16578 @item ipa-cp-recursive-freq-factor
16579 The number of times interprocedural copy propagation expects recursive
16580 functions to call themselves.
16582 @item ipa-cp-recursion-penalty
16583 Percentage penalty the recursive functions will receive when they
16584 are evaluated for cloning.
16586 @item ipa-cp-single-call-penalty
16587 Percentage penalty functions containing a single call to another
16588 function will receive when they are evaluated for cloning.
16590 @item ipa-max-agg-items
16591 IPA-CP is also capable to propagate a number of scalar values passed
16592 in an aggregate. @option{ipa-max-agg-items} controls the maximum
16593 number of such values per one parameter.
16595 @item ipa-cp-loop-hint-bonus
16596 When IPA-CP determines that a cloning candidate would make the number
16597 of iterations of a loop known, it adds a bonus of
16598 @option{ipa-cp-loop-hint-bonus} to the profitability score of
16601 @item ipa-max-loop-predicates
16602 The maximum number of different predicates IPA will use to describe when
16603 loops in a function have known properties.
16605 @item ipa-max-aa-steps
16606 During its analysis of function bodies, IPA-CP employs alias analysis
16607 in order to track values pointed to by function parameters. In order
16608 not spend too much time analyzing huge functions, it gives up and
16609 consider all memory clobbered after examining
16610 @option{ipa-max-aa-steps} statements modifying memory.
16612 @item ipa-max-switch-predicate-bounds
16613 Maximal number of boundary endpoints of case ranges of switch statement.
16614 For switch exceeding this limit, IPA-CP will not construct cloning cost
16615 predicate, which is used to estimate cloning benefit, for default case
16616 of the switch statement.
16618 @item ipa-max-param-expr-ops
16619 IPA-CP will analyze conditional statement that references some function
16620 parameter to estimate benefit for cloning upon certain constant value.
16621 But if number of operations in a parameter expression exceeds
16622 @option{ipa-max-param-expr-ops}, the expression is treated as complicated
16623 one, and is not handled by IPA analysis.
16625 @item lto-partitions
16626 Specify desired number of partitions produced during WHOPR compilation.
16627 The number of partitions should exceed the number of CPUs used for compilation.
16629 @item lto-min-partition
16630 Size of minimal partition for WHOPR (in estimated instructions).
16631 This prevents expenses of splitting very small programs into too many
16634 @item lto-max-partition
16635 Size of max partition for WHOPR (in estimated instructions).
16636 to provide an upper bound for individual size of partition.
16637 Meant to be used only with balanced partitioning.
16639 @item lto-max-streaming-parallelism
16640 Maximal number of parallel processes used for LTO streaming.
16642 @item cxx-max-namespaces-for-diagnostic-help
16643 The maximum number of namespaces to consult for suggestions when C++
16644 name lookup fails for an identifier.
16646 @item sink-frequency-threshold
16647 The maximum relative execution frequency (in percents) of the target block
16648 relative to a statement's original block to allow statement sinking of a
16649 statement. Larger numbers result in more aggressive statement sinking.
16650 A small positive adjustment is applied for
16651 statements with memory operands as those are even more profitable so sink.
16653 @item max-stores-to-sink
16654 The maximum number of conditional store pairs that can be sunk. Set to 0
16655 if either vectorization (@option{-ftree-vectorize}) or if-conversion
16656 (@option{-ftree-loop-if-convert}) is disabled.
16658 @item case-values-threshold
16659 The smallest number of different values for which it is best to use a
16660 jump-table instead of a tree of conditional branches. If the value is
16661 0, use the default for the machine.
16663 @item jump-table-max-growth-ratio-for-size
16664 The maximum code size growth ratio when expanding
16665 into a jump table (in percent). The parameter is used when
16666 optimizing for size.
16668 @item jump-table-max-growth-ratio-for-speed
16669 The maximum code size growth ratio when expanding
16670 into a jump table (in percent). The parameter is used when
16671 optimizing for speed.
16673 @item tree-reassoc-width
16674 Set the maximum number of instructions executed in parallel in
16675 reassociated tree. This parameter overrides target dependent
16676 heuristics used by default if has non zero value.
16678 @item sched-pressure-algorithm
16679 Choose between the two available implementations of
16680 @option{-fsched-pressure}. Algorithm 1 is the original implementation
16681 and is the more likely to prevent instructions from being reordered.
16682 Algorithm 2 was designed to be a compromise between the relatively
16683 conservative approach taken by algorithm 1 and the rather aggressive
16684 approach taken by the default scheduler. It relies more heavily on
16685 having a regular register file and accurate register pressure classes.
16686 See @file{haifa-sched.cc} in the GCC sources for more details.
16688 The default choice depends on the target.
16690 @item max-slsr-cand-scan
16691 Set the maximum number of existing candidates that are considered when
16692 seeking a basis for a new straight-line strength reduction candidate.
16695 Enable buffer overflow detection for global objects. This kind
16696 of protection is enabled by default if you are using
16697 @option{-fsanitize=address} option.
16698 To disable global objects protection use @option{--param asan-globals=0}.
16701 Enable buffer overflow detection for stack objects. This kind of
16702 protection is enabled by default when using @option{-fsanitize=address}.
16703 To disable stack protection use @option{--param asan-stack=0} option.
16705 @item asan-instrument-reads
16706 Enable buffer overflow detection for memory reads. This kind of
16707 protection is enabled by default when using @option{-fsanitize=address}.
16708 To disable memory reads protection use
16709 @option{--param asan-instrument-reads=0}.
16711 @item asan-instrument-writes
16712 Enable buffer overflow detection for memory writes. This kind of
16713 protection is enabled by default when using @option{-fsanitize=address}.
16714 To disable memory writes protection use
16715 @option{--param asan-instrument-writes=0} option.
16717 @item asan-memintrin
16718 Enable detection for built-in functions. This kind of protection
16719 is enabled by default when using @option{-fsanitize=address}.
16720 To disable built-in functions protection use
16721 @option{--param asan-memintrin=0}.
16723 @item asan-use-after-return
16724 Enable detection of use-after-return. This kind of protection
16725 is enabled by default when using the @option{-fsanitize=address} option.
16726 To disable it use @option{--param asan-use-after-return=0}.
16728 Note: By default the check is disabled at run time. To enable it,
16729 add @code{detect_stack_use_after_return=1} to the environment variable
16730 @env{ASAN_OPTIONS}.
16732 @item asan-instrumentation-with-call-threshold
16733 If number of memory accesses in function being instrumented
16734 is greater or equal to this number, use callbacks instead of inline checks.
16735 E.g. to disable inline code use
16736 @option{--param asan-instrumentation-with-call-threshold=0}.
16738 @item asan-kernel-mem-intrinsic-prefix
16739 If nonzero, prefix calls to @code{memcpy}, @code{memset} and @code{memmove}
16740 with @samp{__asan_} or @samp{__hwasan_}
16741 for @option{-fsanitize=kernel-address} or @samp{-fsanitize=kernel-hwaddress},
16744 @item hwasan-instrument-stack
16745 Enable hwasan instrumentation of statically sized stack-allocated variables.
16746 This kind of instrumentation is enabled by default when using
16747 @option{-fsanitize=hwaddress} and disabled by default when using
16748 @option{-fsanitize=kernel-hwaddress}.
16749 To disable stack instrumentation use
16750 @option{--param hwasan-instrument-stack=0}, and to enable it use
16751 @option{--param hwasan-instrument-stack=1}.
16753 @item hwasan-random-frame-tag
16754 When using stack instrumentation, decide tags for stack variables using a
16755 deterministic sequence beginning at a random tag for each frame. With this
16756 parameter unset tags are chosen using the same sequence but beginning from 1.
16757 This is enabled by default for @option{-fsanitize=hwaddress} and unavailable
16758 for @option{-fsanitize=kernel-hwaddress}.
16759 To disable it use @option{--param hwasan-random-frame-tag=0}.
16761 @item hwasan-instrument-allocas
16762 Enable hwasan instrumentation of dynamically sized stack-allocated variables.
16763 This kind of instrumentation is enabled by default when using
16764 @option{-fsanitize=hwaddress} and disabled by default when using
16765 @option{-fsanitize=kernel-hwaddress}.
16766 To disable instrumentation of such variables use
16767 @option{--param hwasan-instrument-allocas=0}, and to enable it use
16768 @option{--param hwasan-instrument-allocas=1}.
16770 @item hwasan-instrument-reads
16771 Enable hwasan checks on memory reads. Instrumentation of reads is enabled by
16772 default for both @option{-fsanitize=hwaddress} and
16773 @option{-fsanitize=kernel-hwaddress}.
16774 To disable checking memory reads use
16775 @option{--param hwasan-instrument-reads=0}.
16777 @item hwasan-instrument-writes
16778 Enable hwasan checks on memory writes. Instrumentation of writes is enabled by
16779 default for both @option{-fsanitize=hwaddress} and
16780 @option{-fsanitize=kernel-hwaddress}.
16781 To disable checking memory writes use
16782 @option{--param hwasan-instrument-writes=0}.
16784 @item hwasan-instrument-mem-intrinsics
16785 Enable hwasan instrumentation of builtin functions. Instrumentation of these
16786 builtin functions is enabled by default for both @option{-fsanitize=hwaddress}
16787 and @option{-fsanitize=kernel-hwaddress}.
16788 To disable instrumentation of builtin functions use
16789 @option{--param hwasan-instrument-mem-intrinsics=0}.
16791 @item use-after-scope-direct-emission-threshold
16792 If the size of a local variable in bytes is smaller or equal to this
16793 number, directly poison (or unpoison) shadow memory instead of using
16794 run-time callbacks.
16796 @item tsan-distinguish-volatile
16797 Emit special instrumentation for accesses to volatiles.
16799 @item tsan-instrument-func-entry-exit
16800 Emit instrumentation calls to __tsan_func_entry() and __tsan_func_exit().
16802 @item max-fsm-thread-path-insns
16803 Maximum number of instructions to copy when duplicating blocks on a
16804 finite state automaton jump thread path.
16806 @item threader-debug
16807 threader-debug=[none|all] Enables verbose dumping of the threader solver.
16809 @item parloops-chunk-size
16810 Chunk size of omp schedule for loops parallelized by parloops.
16812 @item parloops-schedule
16813 Schedule type of omp schedule for loops parallelized by parloops (static,
16814 dynamic, guided, auto, runtime).
16816 @item parloops-min-per-thread
16817 The minimum number of iterations per thread of an innermost parallelized
16818 loop for which the parallelized variant is preferred over the single threaded
16819 one. Note that for a parallelized loop nest the
16820 minimum number of iterations of the outermost loop per thread is two.
16822 @item max-ssa-name-query-depth
16823 Maximum depth of recursion when querying properties of SSA names in things
16824 like fold routines. One level of recursion corresponds to following a
16827 @item max-speculative-devirt-maydefs
16828 The maximum number of may-defs we analyze when looking for a must-def
16829 specifying the dynamic type of an object that invokes a virtual call
16830 we may be able to devirtualize speculatively.
16833 Specifies the type of debug output to be issued for ranges.
16835 @item unroll-jam-min-percent
16836 The minimum percentage of memory references that must be optimized
16837 away for the unroll-and-jam transformation to be considered profitable.
16839 @item unroll-jam-max-unroll
16840 The maximum number of times the outer loop should be unrolled by
16841 the unroll-and-jam transformation.
16843 @item max-rtl-if-conversion-unpredictable-cost
16844 Maximum permissible cost for the sequence that would be generated
16845 by the RTL if-conversion pass for a branch that is considered unpredictable.
16847 @item max-variable-expansions-in-unroller
16848 If @option{-fvariable-expansion-in-unroller} is used, the maximum number
16849 of times that an individual variable will be expanded during loop unrolling.
16851 @item partial-inlining-entry-probability
16852 Maximum probability of the entry BB of split region
16853 (in percent relative to entry BB of the function)
16854 to make partial inlining happen.
16856 @item max-tracked-strlens
16857 Maximum number of strings for which strlen optimization pass will
16858 track string lengths.
16860 @item gcse-after-reload-partial-fraction
16861 The threshold ratio for performing partial redundancy
16862 elimination after reload.
16864 @item gcse-after-reload-critical-fraction
16865 The threshold ratio of critical edges execution count that
16866 permit performing redundancy elimination after reload.
16868 @item max-loop-header-insns
16869 The maximum number of insns in loop header duplicated
16870 by the copy loop headers pass.
16872 @item vect-epilogues-nomask
16873 Enable loop epilogue vectorization using smaller vector size.
16875 @item vect-partial-vector-usage
16876 Controls when the loop vectorizer considers using partial vector loads
16877 and stores as an alternative to falling back to scalar code. 0 stops
16878 the vectorizer from ever using partial vector loads and stores. 1 allows
16879 partial vector loads and stores if vectorization removes the need for the
16880 code to iterate. 2 allows partial vector loads and stores in all loops.
16881 The parameter only has an effect on targets that support partial
16882 vector loads and stores.
16884 @item vect-inner-loop-cost-factor
16885 The maximum factor which the loop vectorizer applies to the cost of statements
16886 in an inner loop relative to the loop being vectorized. The factor applied
16887 is the maximum of the estimated number of iterations of the inner loop and
16888 this parameter. The default value of this parameter is 50.
16890 @item vect-induction-float
16891 Enable loop vectorization of floating point inductions.
16893 @item vect-force-slp
16894 Force the use of SLP when vectorizing, fail if not possible.
16896 @item vrp-block-limit
16897 Maximum number of basic blocks before VRP switches to a lower memory algorithm.
16899 @item vrp-sparse-threshold
16900 Maximum number of basic blocks before VRP uses a sparse bitmap cache.
16902 @item vrp-switch-limit
16903 Maximum number of outgoing edges in a switch before VRP will not process it.
16905 @item vrp-vector-threshold
16906 Maximum number of basic blocks for VRP to use a basic cache vector.
16908 @item avoid-fma-max-bits
16909 Maximum number of bits for which we avoid creating FMAs.
16911 @item fully-pipelined-fma
16912 Whether the target fully pipelines FMA instructions. If non-zero,
16913 reassociation considers the benefit of parallelizing FMA's multiplication
16914 part and addition part, assuming FMUL and FMA use the same units that can
16917 @item sms-loop-average-count-threshold
16918 A threshold on the average loop count considered by the swing modulo scheduler.
16920 @item sms-dfa-history
16921 The number of cycles the swing modulo scheduler considers when checking
16922 conflicts using DFA.
16924 @item graphite-allow-codegen-errors
16925 Whether codegen errors should be ICEs when @option{-fchecking}.
16927 @item sms-max-ii-factor
16928 A factor for tuning the upper bound that swing modulo scheduler
16929 uses for scheduling a loop.
16931 @item lra-max-considered-reload-pseudos
16932 The max number of reload pseudos which are considered during
16933 spilling a non-reload pseudo.
16935 @item max-pow-sqrt-depth
16936 Maximum depth of sqrt chains to use when synthesizing exponentiation
16937 by a real constant.
16939 @item max-dse-active-local-stores
16940 Maximum number of active local stores in RTL dead store elimination.
16942 @item asan-instrument-allocas
16943 Enable asan allocas/VLAs protection.
16945 @item max-iterations-computation-cost
16946 Bound on the cost of an expression to compute the number of iterations.
16948 @item max-isl-operations
16949 Maximum number of isl operations, 0 means unlimited.
16951 @item graphite-max-arrays-per-scop
16952 Maximum number of arrays per scop.
16954 @item max-vartrack-reverse-op-size
16955 Max. size of loc list for which reverse ops should be added.
16957 @item fsm-scale-path-stmts
16958 Scale factor to apply to the number of statements in a threading path
16959 crossing a loop backedge when comparing to
16960 @option{--param=max-jump-thread-duplication-stmts}.
16962 @item uninit-control-dep-attempts
16963 Maximum number of nested calls to search for control dependencies
16964 during uninitialized variable analysis.
16966 @item uninit-max-chain-len
16967 Maximum number of predicates anded for each predicate ored in the normalized
16970 @item uninit-max-num-chains
16971 Maximum number of predicates ored in the normalized predicate chain.
16973 @item sched-autopref-queue-depth
16974 Hardware autoprefetcher scheduler model control flag.
16975 Number of lookahead cycles the model looks into; at '
16976 ' only enable instruction sorting heuristic.
16978 @item loop-versioning-max-inner-insns
16979 The maximum number of instructions that an inner loop can have
16980 before the loop versioning pass considers it too big to copy.
16982 @item loop-versioning-max-outer-insns
16983 The maximum number of instructions that an outer loop can have
16984 before the loop versioning pass considers it too big to copy,
16985 discounting any instructions in inner loops that directly benefit
16988 @item ssa-name-def-chain-limit
16989 The maximum number of SSA_NAME assignments to follow in determining
16990 a property of a variable such as its value. This limits the number
16991 of iterations or recursive calls GCC performs when optimizing certain
16992 statements or when determining their validity prior to issuing
16995 @item store-merging-max-size
16996 Maximum size of a single store merging region in bytes.
16998 @item hash-table-verification-limit
16999 The number of elements for which hash table verification is done
17000 for each searched element.
17002 @item max-find-base-term-values
17003 Maximum number of VALUEs handled during a single find_base_term call.
17005 @item analyzer-max-enodes-per-program-point
17006 The maximum number of exploded nodes per program point within
17007 the analyzer, before terminating analysis of that point.
17009 @item analyzer-max-constraints
17010 The maximum number of constraints per state.
17012 @item analyzer-min-snodes-for-call-summary
17013 The minimum number of supernodes within a function for the
17014 analyzer to consider summarizing its effects at call sites.
17016 @item analyzer-max-enodes-for-full-dump
17017 The maximum depth of exploded nodes that should appear in a dot dump
17018 before switching to a less verbose format.
17020 @item analyzer-max-recursion-depth
17021 The maximum number of times a callsite can appear in a call stack
17022 within the analyzer, before terminating analysis of a call that would
17025 @item analyzer-max-svalue-depth
17026 The maximum depth of a symbolic value, before approximating
17027 the value as unknown.
17029 @item analyzer-max-infeasible-edges
17030 The maximum number of infeasible edges to reject before declaring
17031 a diagnostic as infeasible.
17033 @item gimple-fe-computed-hot-bb-threshold
17034 The number of executions of a basic block which is considered hot.
17035 The parameter is used only in GIMPLE FE.
17037 @item analyzer-bb-explosion-factor
17038 The maximum number of 'after supernode' exploded nodes within the analyzer
17039 per supernode, before terminating analysis.
17041 @item analyzer-text-art-string-ellipsis-threshold
17042 The number of bytes at which to ellipsize string literals in analyzer text art diagrams.
17044 @item analyzer-text-art-ideal-canvas-width
17045 The ideal width in characters of text art diagrams generated by the analyzer.
17047 @item analyzer-text-art-string-ellipsis-head-len
17048 The number of literal bytes to show at the head of a string literal in text art when ellipsizing it.
17050 @item analyzer-text-art-string-ellipsis-tail-len
17051 The number of literal bytes to show at the tail of a string literal in text art when ellipsizing it.
17053 @item ranger-logical-depth
17054 Maximum depth of logical expression evaluation ranger will look through
17055 when evaluating outgoing edge ranges.
17057 @item ranger-recompute-depth
17058 Maximum depth of instruction chains to consider for recomputation
17059 in the outgoing range calculator.
17061 @item relation-block-limit
17062 Maximum number of relations the oracle will register in a basic block.
17064 @item transitive-relations-work-bound
17065 Work bound when discovering transitive relations from existing relations.
17068 Minimum page size for warning purposes.
17070 @item openacc-kernels
17071 Specify mode of OpenACC `kernels' constructs handling.
17072 With @option{--param=openacc-kernels=decompose}, OpenACC `kernels'
17073 constructs are decomposed into parts, a sequence of compute
17074 constructs, each then handled individually.
17075 This is work in progress.
17076 With @option{--param=openacc-kernels=parloops}, OpenACC `kernels'
17077 constructs are handled by the @samp{parloops} pass, en bloc.
17078 This is the current default.
17080 @item openacc-privatization
17081 Control whether the @option{-fopt-info-omp-note} and applicable
17082 @option{-fdump-tree-*-details} options emit OpenACC privatization diagnostics.
17083 With @option{--param=openacc-privatization=quiet}, don't diagnose.
17084 This is the current default.
17085 With @option{--param=openacc-privatization=noisy}, do diagnose.
17089 The following choices of @var{name} are available on AArch64 targets:
17092 @item aarch64-vect-compare-costs
17093 When vectorizing, consider using multiple different approaches and use
17094 the cost model to choose the cheapest one. This includes:
17098 Trying both SVE and Advanced SIMD, when SVE is available.
17101 Trying to use 64-bit Advanced SIMD vectors for the smallest data elements,
17102 rather than using 128-bit vectors for everything.
17105 Trying to use ``unpacked'' SVE vectors for smaller elements. This includes
17106 storing smaller elements in larger containers and accessing elements with
17107 extending loads and truncating stores.
17110 @item aarch64-float-recp-precision
17111 The number of Newton iterations for calculating the reciprocal for float type.
17112 The precision of division is proportional to this param when division
17113 approximation is enabled. The default value is 1.
17115 @item aarch64-double-recp-precision
17116 The number of Newton iterations for calculating the reciprocal for double type.
17117 The precision of division is propotional to this param when division
17118 approximation is enabled. The default value is 2.
17120 @item aarch64-autovec-preference
17121 Force an ISA selection strategy for auto-vectorization.
17124 Use the default heuristics.
17126 Use only Advanced SIMD for auto-vectorization.
17128 Use only SVE for auto-vectorization.
17130 Use both Advanced SIMD and SVE. Prefer Advanced SIMD when the costs are
17133 Use both Advanced SIMD and SVE. Prefer SVE when the costs are deemed equal.
17136 @item aarch64-ldp-policy
17137 Fine-grained policy for load pairs.
17138 With @option{--param=aarch64-ldp-policy=default}, use the policy of the
17139 tuning structure. This is the current default.
17140 With @option{--param=aarch64-ldp-policy=always}, emit ldp regardless
17142 With @option{--param=aarch64-ldp-policy=never}, do not emit ldp.
17143 With @option{--param=aarch64-ldp-policy=aligned}, emit ldp only if the
17144 source pointer is aligned to at least double the alignment of the type.
17146 @item aarch64-stp-policy
17147 Fine-grained policy for store pairs.
17148 With @option{--param=aarch64-stp-policy=default}, use the policy of the
17149 tuning structure. This is the current default.
17150 With @option{--param=aarch64-stp-policy=always}, emit stp regardless
17152 With @option{--param=aarch64-stp-policy=never}, do not emit stp.
17153 With @option{--param=aarch64-stp-policy=aligned}, emit stp only if the
17154 source pointer is aligned to at least double the alignment of the type.
17156 @item aarch64-ldp-alias-check-limit
17157 Limit on the number of alias checks performed by the AArch64 load/store pair
17158 fusion pass when attempting to form an ldp/stp. Higher values make the pass
17159 more aggressive at re-ordering loads over stores, at the expense of increased
17162 @item aarch64-ldp-writeback
17163 Param to control which writeback opportunities we try to handle in the AArch64
17164 load/store pair fusion pass. A value of zero disables writeback handling. One
17165 means we try to form pairs involving one or more existing individual writeback
17166 accesses where possible. A value of two means we also try to opportunistically
17167 form writeback opportunities by folding in trailing destructive updates of the
17168 base register used by a pair.
17170 @item aarch64-loop-vect-issue-rate-niters
17171 The tuning for some AArch64 CPUs tries to take both latencies and issue
17172 rates into account when deciding whether a loop should be vectorized
17173 using SVE, vectorized using Advanced SIMD, or not vectorized at all.
17174 If this parameter is set to @var{n}, GCC will not use this heuristic
17175 for loops that are known to execute in fewer than @var{n} Advanced
17178 @item aarch64-vect-unroll-limit
17179 The vectorizer will use available tuning information to determine whether it
17180 would be beneficial to unroll the main vectorized loop and by how much. This
17181 parameter set's the upper bound of how much the vectorizer will unroll the main
17182 loop. The default value is four.
17186 The following choices of @var{name} are available on GCN targets:
17189 @item gcn-preferred-vectorization-factor
17190 Preferred vectorization factor: @samp{default}, @samp{32}, @samp{64}.
17194 The following choices of @var{name} are available on i386 and x86_64 targets:
17197 @item x86-stlf-window-ninsns
17198 Instructions number above which STFL stall penalty can be compensated.
17200 @item x86-stv-max-visits
17201 The maximum number of use and def visits when discovering a STV chain before
17202 the discovery is aborted.
17208 @node Instrumentation Options
17209 @section Program Instrumentation Options
17210 @cindex instrumentation options
17211 @cindex program instrumentation options
17212 @cindex run-time error checking options
17213 @cindex profiling options
17214 @cindex options, program instrumentation
17215 @cindex options, run-time error checking
17216 @cindex options, profiling
17218 GCC supports a number of command-line options that control adding
17219 run-time instrumentation to the code it normally generates.
17220 For example, one purpose of instrumentation is collect profiling
17221 statistics for use in finding program hot spots, code coverage
17222 analysis, or profile-guided optimizations.
17223 Another class of program instrumentation is adding run-time checking
17224 to detect programming errors like invalid pointer
17225 dereferences or out-of-bounds array accesses, as well as deliberately
17226 hostile attacks such as stack smashing or C++ vtable hijacking.
17227 There is also a general hook which can be used to implement other
17228 forms of tracing or function-level instrumentation for debug or
17229 program analysis purposes.
17232 @cindex @command{prof}
17233 @cindex @command{gprof}
17238 Generate extra code to write profile information suitable for the
17239 analysis program @command{prof} (for @option{-p}) or @command{gprof}
17240 (for @option{-pg}). You must use this option when compiling
17241 the source files you want data about, and you must also use it when
17244 You can use the function attribute @code{no_instrument_function} to
17245 suppress profiling of individual functions when compiling with these options.
17246 @xref{Common Function Attributes}.
17248 @opindex fprofile-arcs
17249 @item -fprofile-arcs
17250 Add code so that program flow @dfn{arcs} are instrumented. During
17251 execution the program records how many times each branch and call is
17252 executed and how many times it is taken or returns. On targets that support
17253 constructors with priority support, profiling properly handles constructors,
17254 destructors and C++ constructors (and destructors) of classes which are used
17255 as a type of a global variable.
17258 program exits it saves this data to a file called
17259 @file{@var{auxname}.gcda} for each source file. The data may be used for
17260 profile-directed optimizations (@option{-fbranch-probabilities}), or for
17261 test coverage analysis (@option{-ftest-coverage}). Each object file's
17262 @var{auxname} is generated from the name of the output file, if
17263 explicitly specified and it is not the final executable, otherwise it is
17264 the basename of the source file. In both cases any suffix is removed
17265 (e.g.@: @file{foo.gcda} for input file @file{dir/foo.c}, or
17266 @file{dir/foo.gcda} for output file specified as @option{-o dir/foo.o}).
17268 Note that if a command line directly links source files, the corresponding
17269 @var{.gcda} files will be prefixed with the unsuffixed name of the output file.
17270 E.g. @code{gcc a.c b.c -o binary} would generate @file{binary-a.gcda} and
17271 @file{binary-b.gcda} files.
17273 @item -fcondition-coverage
17274 @opindex fcondition-coverage
17275 Add code so that program conditions are instrumented. During execution the
17276 program records what terms in a conditional contributes to a decision, which
17277 can be used to verify that all terms in a Boolean function are tested and have
17278 an independent effect on the outcome of a decision. The result can be read
17279 with @code{gcov --conditions}.
17281 @xref{Cross-profiling}.
17283 @cindex @command{gcov}
17287 This option is used to compile and link code instrumented for coverage
17288 analysis. The option is a synonym for @option{-fprofile-arcs}
17289 @option{-ftest-coverage} (when compiling) and @option{-lgcov} (when
17290 linking). See the documentation for those options for more details.
17295 Compile the source files with @option{-fprofile-arcs} plus optimization
17296 and code generation options. For test coverage analysis, use the
17297 additional @option{-ftest-coverage} option. You do not need to profile
17298 every source file in a program.
17301 Compile the source files additionally with @option{-fprofile-abs-path}
17302 to create absolute path names in the @file{.gcno} files. This allows
17303 @command{gcov} to find the correct sources in projects where compilations
17304 occur with different working directories.
17307 Link your object files with @option{-lgcov} or @option{-fprofile-arcs}
17308 (the latter implies the former).
17311 Run the program on a representative workload to generate the arc profile
17312 information. This may be repeated any number of times. You can run
17313 concurrent instances of your program, and provided that the file system
17314 supports locking, the data files will be correctly updated. Unless
17315 a strict ISO C dialect option is in effect, @code{fork} calls are
17316 detected and correctly handled without double counting.
17318 Moreover, an object file can be recompiled multiple times
17319 and the corresponding @file{.gcda} file merges as long as
17320 the source file and the compiler options are unchanged.
17323 For profile-directed optimizations, compile the source files again with
17324 the same optimization and code generation options plus
17325 @option{-fbranch-probabilities} (@pxref{Optimize Options,,Options that
17326 Control Optimization}).
17329 For test coverage analysis, use @command{gcov} to produce human readable
17330 information from the @file{.gcno} and @file{.gcda} files. Refer to the
17331 @command{gcov} documentation for further information.
17335 With @option{-fprofile-arcs}, for each function of your program GCC
17336 creates a program flow graph, then finds a spanning tree for the graph.
17337 Only arcs that are not on the spanning tree have to be instrumented: the
17338 compiler adds code to count the number of times that these arcs are
17339 executed. When an arc is the only exit or only entrance to a block, the
17340 instrumentation code can be added to the block; otherwise, a new basic
17341 block must be created to hold the instrumentation code.
17343 With @option{-fcondition-coverage}, for each conditional in your program GCC
17344 creates a bitset and records the exercised boolean values that have an
17345 independent effect on the outcome of that expression.
17348 @opindex ftest-coverage
17349 @item -ftest-coverage
17350 Produce a notes file that the @command{gcov} code-coverage utility
17351 (@pxref{Gcov,, @command{gcov}---a Test Coverage Program}) can use to
17352 show program coverage. Each source file's note file is called
17353 @file{@var{auxname}.gcno}. Refer to the @option{-fprofile-arcs} option
17354 above for a description of @var{auxname} and instructions on how to
17355 generate test coverage data. Coverage data matches the source files
17356 more closely if you do not optimize.
17358 @opindex fprofile-abs-path
17359 @item -fprofile-abs-path
17360 Automatically convert relative source file names to absolute path names
17361 in the @file{.gcno} files. This allows @command{gcov} to find the correct
17362 sources in projects where compilations occur with different working
17365 @opindex fprofile-dir
17366 @item -fprofile-dir=@var{path}
17368 Set the directory to search for the profile data files in to @var{path}.
17369 This option affects only the profile data generated by
17370 @option{-fprofile-generate}, @option{-ftest-coverage}, @option{-fprofile-arcs}
17371 and used by @option{-fprofile-use} and @option{-fbranch-probabilities}
17372 and its related options. Both absolute and relative paths can be used.
17373 By default, GCC uses the current directory as @var{path}, thus the
17374 profile data file appears in the same directory as the object file.
17375 In order to prevent the file name clashing, if the object file name is
17376 not an absolute path, we mangle the absolute path of the
17377 @file{@var{sourcename}.gcda} file and use it as the file name of a
17378 @file{.gcda} file. See details about the file naming in @option{-fprofile-arcs}.
17379 See similar option @option{-fprofile-note}.
17381 When an executable is run in a massive parallel environment, it is recommended
17382 to save profile to different folders. That can be done with variables
17383 in @var{path} that are exported during run-time:
17391 value of environment variable @var{VAR}
17395 @opindex fprofile-generate
17396 @item -fprofile-generate
17397 @itemx -fprofile-generate=@var{path}
17399 Enable options usually used for instrumenting application to produce
17400 profile useful for later recompilation with profile feedback based
17401 optimization. You must use @option{-fprofile-generate} both when
17402 compiling and when linking your program.
17404 The following options are enabled:
17405 @option{-fprofile-arcs}, @option{-fprofile-values},
17406 @option{-finline-functions}, and @option{-fipa-bit-cp}.
17408 If @var{path} is specified, GCC looks at the @var{path} to find
17409 the profile feedback data files. See @option{-fprofile-dir}.
17411 To optimize the program based on the collected profile information, use
17412 @option{-fprofile-use}. @xref{Optimize Options}, for more information.
17414 @opindex fprofile-info-section
17415 @item -fprofile-info-section
17416 @itemx -fprofile-info-section=@var{name}
17418 Register the profile information in the specified section instead of using a
17419 constructor/destructor. The section name is @var{name} if it is specified,
17420 otherwise the section name defaults to @code{.gcov_info}. A pointer to the
17421 profile information generated by @option{-fprofile-arcs} is placed in the
17422 specified section for each translation unit. This option disables the profile
17423 information registration through a constructor and it disables the profile
17424 information processing through a destructor. This option is not intended to be
17425 used in hosted environments such as GNU/Linux. It targets freestanding
17426 environments (for example embedded systems) with limited resources which do not
17427 support constructors/destructors or the C library file I/O.
17429 The linker could collect the input sections in a continuous memory block and
17430 define start and end symbols. A GNU linker script example which defines a
17431 linker output section follows:
17436 PROVIDE (__gcov_info_start = .);
17437 KEEP (*(.gcov_info))
17438 PROVIDE (__gcov_info_end = .);
17442 The program could dump the profiling information registered in this linker set
17443 for example like this:
17448 #include <stdlib.h>
17450 extern const struct gcov_info *const __gcov_info_start[];
17451 extern const struct gcov_info *const __gcov_info_end[];
17454 dump (const void *d, unsigned n, void *arg)
17456 const unsigned char *c = d;
17458 for (unsigned i = 0; i < n; ++i)
17459 printf ("%02x", c[i]);
17463 filename (const char *f, void *arg)
17465 __gcov_filename_to_gcfn (f, dump, arg );
17469 allocate (unsigned length, void *arg)
17471 return malloc (length);
17475 dump_gcov_info (void)
17477 const struct gcov_info *const *info = __gcov_info_start;
17478 const struct gcov_info *const *end = __gcov_info_end;
17480 /* Obfuscate variable to prevent compiler optimizations. */
17481 __asm__ ("" : "+r" (info));
17483 while (info != end)
17486 __gcov_info_to_gcda (*info, filename, dump, allocate, arg);
17500 The @command{merge-stream} subcommand of @command{gcov-tool} may be used to
17501 deserialize the data stream generated by the @code{__gcov_filename_to_gcfn} and
17502 @code{__gcov_info_to_gcda} functions and merge the profile information into
17503 @file{.gcda} files on the host filesystem.
17505 @opindex fprofile-note
17506 @item -fprofile-note=@var{path}
17508 If @var{path} is specified, GCC saves @file{.gcno} file into @var{path}
17509 location. If you combine the option with multiple source files,
17510 the @file{.gcno} file will be overwritten.
17512 @opindex fprofile-prefix-path
17513 @item -fprofile-prefix-path=@var{path}
17515 This option can be used in combination with
17516 @option{profile-generate=}@var{profile_dir} and
17517 @option{profile-use=}@var{profile_dir} to inform GCC where is the base
17518 directory of built source tree. By default @var{profile_dir} will contain
17519 files with mangled absolute paths of all object files in the built project.
17520 This is not desirable when directory used to build the instrumented binary
17521 differs from the directory used to build the binary optimized with profile
17522 feedback because the profile data will not be found during the optimized build.
17523 In such setups @option{-fprofile-prefix-path=}@var{path} with @var{path}
17524 pointing to the base directory of the build can be used to strip the irrelevant
17525 part of the path and keep all file names relative to the main build directory.
17527 @opindex fprofile-prefix-map
17528 @item -fprofile-prefix-map=@var{old}=@var{new}
17529 When compiling files residing in directory @file{@var{old}}, record
17530 profiling information (with @option{--coverage})
17531 describing them as if the files resided in
17532 directory @file{@var{new}} instead.
17533 See also @option{-ffile-prefix-map} and @option{-fcanon-prefix-map}.
17535 @opindex fprofile-update
17536 @item -fprofile-update=@var{method}
17538 Alter the update method for an application instrumented for profile
17539 feedback based optimization. The @var{method} argument should be one of
17540 @samp{single}, @samp{atomic} or @samp{prefer-atomic}.
17541 The first one is useful for single-threaded applications,
17542 while the second one prevents profile corruption by emitting thread-safe code.
17544 @strong{Warning:} When an application does not properly join all threads
17545 (or creates an detached thread), a profile file can be still corrupted.
17547 Using @samp{prefer-atomic} would be transformed either to @samp{atomic},
17548 when supported by a target, or to @samp{single} otherwise. The GCC driver
17549 automatically selects @samp{prefer-atomic} when @option{-pthread}
17550 is present in the command line, otherwise the default method is @samp{single}.
17552 If @samp{atomic} is selected, then the profile information is updated using
17553 atomic operations on a best-effort basis. Ideally, the profile information is
17554 updated through atomic operations in hardware. If the target platform does not
17555 support the required atomic operations in hardware, however, @file{libatomic}
17556 is available, then the profile information is updated through calls to
17557 @file{libatomic}. If the target platform neither supports the required atomic
17558 operations in hardware nor @file{libatomic}, then the profile information is
17559 not atomically updated and a warning is issued. In this case, the obtained
17560 profiling information may be corrupt for multi-threaded applications.
17562 For performance reasons, if 64-bit counters are used for the profiling
17563 information and the target platform only supports 32-bit atomic operations in
17564 hardware, then the performance critical profiling updates are done using two
17565 32-bit atomic operations for each counter update. If a signal interrupts these
17566 two operations updating a counter, then the profiling information may be in an
17567 inconsistent state.
17569 @opindex fprofile-filter-files
17570 @item -fprofile-filter-files=@var{regex}
17572 Instrument only functions from files whose name matches
17573 any of the regular expressions (separated by semi-colons).
17575 For example, @option{-fprofile-filter-files=main\.c;module.*\.c} will instrument
17576 only @file{main.c} and all C files starting with 'module'.
17578 @opindex fprofile-exclude-files
17579 @item -fprofile-exclude-files=@var{regex}
17581 Instrument only functions from files whose name does not match
17582 any of the regular expressions (separated by semi-colons).
17584 For example, @option{-fprofile-exclude-files=/usr/.*} will prevent instrumentation
17585 of all files that are located in the @file{/usr/} folder.
17587 @opindex fprofile-reproducible
17588 @item -fprofile-reproducible=@r{[}multithreaded@r{|}parallel-runs@r{|}serial@r{]}
17589 Control level of reproducibility of profile gathered by
17590 @code{-fprofile-generate}. This makes it possible to rebuild program
17591 with same outcome which is useful, for example, for distribution
17594 With @option{-fprofile-reproducible=serial} the profile gathered by
17595 @option{-fprofile-generate} is reproducible provided the trained program
17596 behaves the same at each invocation of the train run, it is not
17597 multi-threaded and profile data streaming is always done in the same
17598 order. Note that profile streaming happens at the end of program run but
17599 also before @code{fork} function is invoked.
17601 Note that it is quite common that execution counts of some part of
17602 programs depends, for example, on length of temporary file names or
17603 memory space randomization (that may affect hash-table collision rate).
17604 Such non-reproducible part of programs may be annotated by
17605 @code{no_instrument_function} function attribute. @command{gcov-dump} with
17606 @option{-l} can be used to dump gathered data and verify that they are
17607 indeed reproducible.
17609 With @option{-fprofile-reproducible=parallel-runs} collected profile
17610 stays reproducible regardless the order of streaming of the data into
17611 gcda files. This setting makes it possible to run multiple instances of
17612 instrumented program in parallel (such as with @code{make -j}). This
17613 reduces quality of gathered data, in particular of indirect call
17616 @opindex fsanitize=address
17617 @item -fsanitize=address
17618 Enable AddressSanitizer, a fast memory error detector.
17619 Memory access instructions are instrumented to detect
17620 out-of-bounds and use-after-free bugs.
17621 The option enables @option{-fsanitize-address-use-after-scope}.
17622 See @uref{https://github.com/google/sanitizers/wiki/AddressSanitizer} for
17623 more details. The run-time behavior can be influenced using the
17624 @env{ASAN_OPTIONS} environment variable. When set to @code{help=1},
17625 the available options are shown at startup of the instrumented program. See
17626 @url{https://github.com/google/sanitizers/wiki/AddressSanitizerFlags#run-time-flags}
17627 for a list of supported options.
17628 The option cannot be combined with @option{-fsanitize=thread} or
17629 @option{-fsanitize=hwaddress}. Note that the only target
17630 @option{-fsanitize=hwaddress} is currently supported on is AArch64.
17632 To get more accurate stack traces, it is possible to use options such as
17633 @option{-O0}, @option{-O1}, or @option{-Og} (which, for instance, prevent
17634 most function inlining), @option{-fno-optimize-sibling-calls} (which prevents
17635 optimizing sibling and tail recursive calls; this option is implicit for
17636 @option{-O0}, @option{-O1}, or @option{-Og}), or @option{-fno-ipa-icf} (which
17637 disables Identical Code Folding for functions). Since multiple runs of the
17638 program may yield backtraces with different addresses due to ASLR (Address
17639 Space Layout Randomization), it may be desirable to turn ASLR off. On Linux,
17640 this can be achieved with @samp{setarch `uname -m` -R ./prog}.
17642 @opindex fsanitize=kernel-address
17643 @item -fsanitize=kernel-address
17644 Enable AddressSanitizer for Linux kernel.
17645 See @uref{https://github.com/google/kernel-sanitizers} for more details.
17647 @opindex fsanitize=hwaddress
17648 @item -fsanitize=hwaddress
17649 Enable Hardware-assisted AddressSanitizer, which uses a hardware ability to
17650 ignore the top byte of a pointer to allow the detection of memory errors with
17651 a low memory overhead.
17652 Memory access instructions are instrumented to detect out-of-bounds and
17653 use-after-free bugs.
17654 The option enables @option{-fsanitize-address-use-after-scope}.
17656 @uref{https://clang.llvm.org/docs/HardwareAssistedAddressSanitizerDesign.html}
17657 for more details. The run-time behavior can be influenced using the
17658 @env{HWASAN_OPTIONS} environment variable. When set to @code{help=1},
17659 the available options are shown at startup of the instrumented program.
17660 The option cannot be combined with @option{-fsanitize=thread} or
17661 @option{-fsanitize=address}, and is currently only available on AArch64.
17663 @opindex fsanitize=kernel-hwaddress
17664 @item -fsanitize=kernel-hwaddress
17665 Enable Hardware-assisted AddressSanitizer for compilation of the Linux kernel.
17666 Similar to @option{-fsanitize=kernel-address} but using an alternate
17667 instrumentation method, and similar to @option{-fsanitize=hwaddress} but with
17668 instrumentation differences necessary for compiling the Linux kernel.
17669 These differences are to avoid hwasan library initialization calls and to
17670 account for the stack pointer having a different value in its top byte.
17672 @emph{Note:} This option has different defaults to the @option{-fsanitize=hwaddress}.
17673 Instrumenting the stack and alloca calls are not on by default but are still
17674 possible by specifying the command-line options
17675 @option{--param hwasan-instrument-stack=1} and
17676 @option{--param hwasan-instrument-allocas=1} respectively. Using a random frame
17677 tag is not implemented for kernel instrumentation.
17679 @opindex fsanitize=pointer-compare
17680 @item -fsanitize=pointer-compare
17681 Instrument comparison operation (<, <=, >, >=) with pointer operands.
17682 The option must be combined with either @option{-fsanitize=kernel-address} or
17683 @option{-fsanitize=address}
17684 The option cannot be combined with @option{-fsanitize=thread}.
17685 Note: By default the check is disabled at run time. To enable it,
17686 add @code{detect_invalid_pointer_pairs=2} to the environment variable
17687 @env{ASAN_OPTIONS}. Using @code{detect_invalid_pointer_pairs=1} detects
17688 invalid operation only when both pointers are non-null.
17690 @opindex fsanitize=pointer-subtract
17691 @item -fsanitize=pointer-subtract
17692 Instrument subtraction with pointer operands.
17693 The option must be combined with either @option{-fsanitize=kernel-address} or
17694 @option{-fsanitize=address}
17695 The option cannot be combined with @option{-fsanitize=thread}.
17696 Note: By default the check is disabled at run time. To enable it,
17697 add @code{detect_invalid_pointer_pairs=2} to the environment variable
17698 @env{ASAN_OPTIONS}. Using @code{detect_invalid_pointer_pairs=1} detects
17699 invalid operation only when both pointers are non-null.
17701 @opindex fsanitize=shadow-call-stack
17702 @item -fsanitize=shadow-call-stack
17703 Enable ShadowCallStack, a security enhancement mechanism used to protect
17704 programs against return address overwrites (e.g. stack buffer overflows.)
17705 It works by saving a function's return address to a separately allocated
17706 shadow call stack in the function prologue and restoring the return address
17707 from the shadow call stack in the function epilogue. Instrumentation only
17708 occurs in functions that need to save the return address to the stack.
17710 Currently it only supports the aarch64 platform. It is specifically
17711 designed for linux kernels that enable the CONFIG_SHADOW_CALL_STACK option.
17712 For the user space programs, runtime support is not currently provided
17713 in libc and libgcc. Users who want to use this feature in user space need
17714 to provide their own support for the runtime. It should be noted that
17715 this may cause the ABI rules to be broken.
17717 On aarch64, the instrumentation makes use of the platform register @code{x18}.
17718 This generally means that any code that may run on the same thread as code
17719 compiled with ShadowCallStack must be compiled with the flag
17720 @option{-ffixed-x18}, otherwise functions compiled without
17721 @option{-ffixed-x18} might clobber @code{x18} and so corrupt the shadow
17724 Also, because there is no userspace runtime support, code compiled with
17725 ShadowCallStack cannot use exception handling. Use @option{-fno-exceptions}
17726 to turn off exceptions.
17728 See @uref{https://clang.llvm.org/docs/ShadowCallStack.html} for more
17731 @opindex fsanitize=thread
17732 @item -fsanitize=thread
17733 Enable ThreadSanitizer, a fast data race detector.
17734 Memory access instructions are instrumented to detect
17735 data race bugs. See @uref{https://github.com/google/sanitizers/wiki#threadsanitizer} for more
17736 details. The run-time behavior can be influenced using the @env{TSAN_OPTIONS}
17737 environment variable; see
17738 @url{https://github.com/google/sanitizers/wiki/ThreadSanitizerFlags} for a list of
17740 The option cannot be combined with @option{-fsanitize=address},
17741 @option{-fsanitize=leak}.
17743 Note that sanitized atomic builtins cannot throw exceptions when
17744 operating on invalid memory addresses with non-call exceptions
17745 (@option{-fnon-call-exceptions}).
17747 @opindex fsanitize=leak
17748 @item -fsanitize=leak
17749 Enable LeakSanitizer, a memory leak detector.
17750 This option only matters for linking of executables.
17751 The executable is linked against a library that overrides @code{malloc}
17752 and other allocator functions. See
17753 @uref{https://github.com/google/sanitizers/wiki/AddressSanitizerLeakSanitizer} for more
17754 details. The run-time behavior can be influenced using the
17755 @env{LSAN_OPTIONS} environment variable.
17756 The option cannot be combined with @option{-fsanitize=thread}.
17758 @opindex fsanitize=undefined
17759 @item -fsanitize=undefined
17760 Enable UndefinedBehaviorSanitizer, a fast undefined behavior detector.
17761 Various computations are instrumented to detect undefined behavior
17762 at runtime. See @uref{https://clang.llvm.org/docs/UndefinedBehaviorSanitizer.html} for more details. The run-time behavior can be influenced using the
17763 @env{UBSAN_OPTIONS} environment variable. Current suboptions are:
17767 @opindex fsanitize=shift
17768 @item -fsanitize=shift
17769 This option enables checking that the result of a shift operation is
17770 not undefined. Note that what exactly is considered undefined differs
17771 slightly between C and C++, as well as between ISO C90 and C99, etc.
17772 This option has two suboptions, @option{-fsanitize=shift-base} and
17773 @option{-fsanitize=shift-exponent}.
17775 @opindex fsanitize=shift-exponent
17776 @item -fsanitize=shift-exponent
17777 This option enables checking that the second argument of a shift operation
17778 is not negative and is smaller than the precision of the promoted first
17781 @opindex fsanitize=shift-base
17782 @item -fsanitize=shift-base
17783 If the second argument of a shift operation is within range, check that the
17784 result of a shift operation is not undefined. Note that what exactly is
17785 considered undefined differs slightly between C and C++, as well as between
17786 ISO C90 and C99, etc.
17788 @opindex fsanitize=integer-divide-by-zero
17789 @item -fsanitize=integer-divide-by-zero
17790 Detect integer division by zero.
17792 @opindex fsanitize=unreachable
17793 @item -fsanitize=unreachable
17794 With this option, the compiler turns the @code{__builtin_unreachable}
17795 call into a diagnostics message call instead. When reaching the
17796 @code{__builtin_unreachable} call, the behavior is undefined.
17798 @opindex fsanitize=vla-bound
17799 @item -fsanitize=vla-bound
17800 This option instructs the compiler to check that the size of a variable
17801 length array is positive.
17803 @opindex fsanitize=null
17804 @item -fsanitize=null
17805 This option enables pointer checking. Particularly, the application
17806 built with this option turned on will issue an error message when it
17807 tries to dereference a NULL pointer, or if a reference (possibly an
17808 rvalue reference) is bound to a NULL pointer, or if a method is invoked
17809 on an object pointed by a NULL pointer.
17811 @opindex fsanitize=return
17812 @item -fsanitize=return
17813 This option enables return statement checking. Programs
17814 built with this option turned on will issue an error message
17815 when the end of a non-void function is reached without actually
17816 returning a value. This option works in C++ only.
17818 @opindex fsanitize=signed-integer-overflow
17819 @item -fsanitize=signed-integer-overflow
17820 This option enables signed integer overflow checking. We check that
17821 the result of @code{+}, @code{*}, and both unary and binary @code{-}
17822 does not overflow in the signed arithmetics. This also detects
17823 @code{INT_MIN / -1} signed division. Note, integer promotion
17824 rules must be taken into account. That is, the following is not an
17827 signed char a = SCHAR_MAX;
17831 @opindex fsanitize=bounds
17832 @item -fsanitize=bounds
17833 This option enables instrumentation of array bounds. Various out of bounds
17834 accesses are detected. Flexible array members, flexible array member-like
17835 arrays, and initializers of variables with static storage are not
17836 instrumented, with the exception of flexible array member-like arrays
17837 for which @code{-fstrict-flex-arrays} or @code{-fstrict-flex-arrays=}
17838 options or @code{strict_flex_array} attributes say they shouldn't be treated
17839 like flexible array member-like arrays.
17841 @opindex fsanitize=bounds-strict
17842 @item -fsanitize=bounds-strict
17843 This option enables strict instrumentation of array bounds. Most out of bounds
17844 accesses are detected, including flexible array member-like arrays.
17845 Initializers of variables with static storage are not instrumented.
17847 @opindex fsanitize=alignment
17848 @item -fsanitize=alignment
17850 This option enables checking of alignment of pointers when they are
17851 dereferenced, or when a reference is bound to insufficiently aligned target,
17852 or when a method or constructor is invoked on insufficiently aligned object.
17854 @opindex fsanitize=object-size
17855 @item -fsanitize=object-size
17856 This option enables instrumentation of memory references using the
17857 @code{__builtin_dynamic_object_size} function. Various out of bounds
17858 pointer accesses are detected.
17860 @opindex fsanitize=float-divide-by-zero
17861 @item -fsanitize=float-divide-by-zero
17862 Detect floating-point division by zero. Unlike other similar options,
17863 @option{-fsanitize=float-divide-by-zero} is not enabled by
17864 @option{-fsanitize=undefined}, since floating-point division by zero can
17865 be a legitimate way of obtaining infinities and NaNs.
17867 @opindex fsanitize=float-cast-overflow
17868 @item -fsanitize=float-cast-overflow
17869 This option enables floating-point type to integer conversion checking.
17870 We check that the result of the conversion does not overflow.
17871 Unlike other similar options, @option{-fsanitize=float-cast-overflow} is
17872 not enabled by @option{-fsanitize=undefined}.
17873 This option does not work well with @code{FE_INVALID} exceptions enabled.
17875 @opindex fsanitize=nonnull-attribute
17876 @item -fsanitize=nonnull-attribute
17878 This option enables instrumentation of calls, checking whether null values
17879 are not passed to arguments marked as requiring a non-null value by the
17880 @code{nonnull} function attribute.
17882 @opindex fsanitize=returns-nonnull-attribute
17883 @item -fsanitize=returns-nonnull-attribute
17885 This option enables instrumentation of return statements in functions
17886 marked with @code{returns_nonnull} function attribute, to detect returning
17887 of null values from such functions.
17889 @opindex fsanitize=bool
17890 @item -fsanitize=bool
17892 This option enables instrumentation of loads from bool. If a value other
17893 than 0/1 is loaded, a run-time error is issued.
17895 @opindex fsanitize=enum
17896 @item -fsanitize=enum
17898 This option enables instrumentation of loads from an enum type. If
17899 a value outside the range of values for the enum type is loaded,
17900 a run-time error is issued.
17902 @opindex fsanitize=vptr
17903 @item -fsanitize=vptr
17905 This option enables instrumentation of C++ member function calls, member
17906 accesses and some conversions between pointers to base and derived classes,
17907 to verify the referenced object has the correct dynamic type.
17909 @opindex fsanitize=pointer-overflow
17910 @item -fsanitize=pointer-overflow
17912 This option enables instrumentation of pointer arithmetics. If the pointer
17913 arithmetics overflows, a run-time error is issued.
17915 @opindex fsanitize=builtin
17916 @item -fsanitize=builtin
17918 This option enables instrumentation of arguments to selected builtin
17919 functions. If an invalid value is passed to such arguments, a run-time
17920 error is issued. E.g.@ passing 0 as the argument to @code{__builtin_ctz}
17921 or @code{__builtin_clz} invokes undefined behavior and is diagnosed
17926 Note that sanitizers tend to increase the rate of false positive
17927 warnings, most notably those around @option{-Wmaybe-uninitialized}.
17928 We recommend against combining @option{-Werror} and [the use of]
17931 While @option{-ftrapv} causes traps for signed overflows to be emitted,
17932 @option{-fsanitize=undefined} gives a diagnostic message.
17933 This currently works only for the C family of languages.
17935 @opindex fno-sanitize=all
17936 @item -fno-sanitize=all
17938 This option disables all previously enabled sanitizers.
17939 @option{-fsanitize=all} is not allowed, as some sanitizers cannot be used
17942 @opindex fasan-shadow-offset
17943 @item -fasan-shadow-offset=@var{number}
17944 This option forces GCC to use custom shadow offset in AddressSanitizer checks.
17945 It is useful for experimenting with different shadow memory layouts in
17946 Kernel AddressSanitizer.
17948 @opindex fsanitize-sections
17949 @item -fsanitize-sections=@var{s1},@var{s2},...
17950 Sanitize global variables in selected user-defined sections. @var{si} may
17953 @opindex fsanitize-recover
17954 @opindex fno-sanitize-recover
17955 @item -fsanitize-recover@r{[}=@var{opts}@r{]}
17956 @option{-fsanitize-recover=} controls error recovery mode for sanitizers
17957 mentioned in comma-separated list of @var{opts}. Enabling this option
17958 for a sanitizer component causes it to attempt to continue
17959 running the program as if no error happened. This means multiple
17960 runtime errors can be reported in a single program run, and the exit
17961 code of the program may indicate success even when errors
17962 have been reported. The @option{-fno-sanitize-recover=} option
17963 can be used to alter
17964 this behavior: only the first detected error is reported
17965 and program then exits with a non-zero exit code.
17967 Currently this feature only works for @option{-fsanitize=undefined} (and its suboptions
17968 except for @option{-fsanitize=unreachable} and @option{-fsanitize=return}),
17969 @option{-fsanitize=float-cast-overflow}, @option{-fsanitize=float-divide-by-zero},
17970 @option{-fsanitize=bounds-strict},
17971 @option{-fsanitize=kernel-address} and @option{-fsanitize=address}.
17972 For these sanitizers error recovery is turned on by default,
17973 except @option{-fsanitize=address}, for which this feature is experimental.
17974 @option{-fsanitize-recover=all} and @option{-fno-sanitize-recover=all} is also
17975 accepted, the former enables recovery for all sanitizers that support it,
17976 the latter disables recovery for all sanitizers that support it.
17978 Even if a recovery mode is turned on the compiler side, it needs to be also
17979 enabled on the runtime library side, otherwise the failures are still fatal.
17980 The runtime library defaults to @code{halt_on_error=0} for
17981 ThreadSanitizer and UndefinedBehaviorSanitizer, while default value for
17982 AddressSanitizer is @code{halt_on_error=1}. This can be overridden through
17983 setting the @code{halt_on_error} flag in the corresponding environment variable.
17985 Syntax without an explicit @var{opts} parameter is deprecated. It is
17986 equivalent to specifying an @var{opts} list of:
17989 undefined,float-cast-overflow,float-divide-by-zero,bounds-strict
17992 @opindex fsanitize-address-use-after-scope
17993 @item -fsanitize-address-use-after-scope
17994 Enable sanitization of local variables to detect use-after-scope bugs.
17995 The option sets @option{-fstack-reuse} to @samp{none}.
17997 @opindex fsanitize-trap
17998 @opindex fno-sanitize-trap
17999 @item -fsanitize-trap@r{[}=@var{opts}@r{]}
18000 The @option{-fsanitize-trap=} option instructs the compiler to
18001 report for sanitizers mentioned in comma-separated list of @var{opts}
18002 undefined behavior using @code{__builtin_trap} rather than a @code{libubsan}
18003 library routine. If this option is enabled for certain sanitizer,
18004 it takes precedence over the @option{-fsanitizer-recover=} for that
18005 sanitizer, @code{__builtin_trap} will be emitted and be fatal regardless
18006 of whether recovery is enabled or disabled using @option{-fsanitize-recover=}.
18008 The advantage of this is that the @code{libubsan} library is not needed
18009 and is not linked in, so this is usable even in freestanding environments.
18011 Currently this feature works with @option{-fsanitize=undefined} (and its suboptions
18012 except for @option{-fsanitize=vptr}), @option{-fsanitize=float-cast-overflow},
18013 @option{-fsanitize=float-divide-by-zero} and
18014 @option{-fsanitize=bounds-strict}. @code{-fsanitize-trap=all} can be also
18015 specified, which enables it for @code{undefined} suboptions,
18016 @option{-fsanitize=float-cast-overflow},
18017 @option{-fsanitize=float-divide-by-zero} and
18018 @option{-fsanitize=bounds-strict}.
18019 If @code{-fsanitize-trap=undefined} or @code{-fsanitize-trap=all} is used
18020 and @code{-fsanitize=vptr} is enabled on the command line, the
18021 instrumentation is silently ignored as the instrumentation always needs
18022 @code{libubsan} support, @option{-fsanitize-trap=vptr} is not allowed.
18024 @opindex fsanitize-undefined-trap-on-error
18025 @item -fsanitize-undefined-trap-on-error
18026 The @option{-fsanitize-undefined-trap-on-error} option is deprecated
18027 equivalent of @option{-fsanitize-trap=all}.
18029 @opindex fsanitize-coverage=trace-pc
18030 @item -fsanitize-coverage=trace-pc
18031 Enable coverage-guided fuzzing code instrumentation.
18032 Inserts a call to @code{__sanitizer_cov_trace_pc} into every basic block.
18034 @opindex fsanitize-coverage=trace-cmp
18035 @item -fsanitize-coverage=trace-cmp
18036 Enable dataflow guided fuzzing code instrumentation.
18037 Inserts a call to @code{__sanitizer_cov_trace_cmp1},
18038 @code{__sanitizer_cov_trace_cmp2}, @code{__sanitizer_cov_trace_cmp4} or
18039 @code{__sanitizer_cov_trace_cmp8} for integral comparison with both operands
18040 variable or @code{__sanitizer_cov_trace_const_cmp1},
18041 @code{__sanitizer_cov_trace_const_cmp2},
18042 @code{__sanitizer_cov_trace_const_cmp4} or
18043 @code{__sanitizer_cov_trace_const_cmp8} for integral comparison with one
18044 operand constant, @code{__sanitizer_cov_trace_cmpf} or
18045 @code{__sanitizer_cov_trace_cmpd} for float or double comparisons and
18046 @code{__sanitizer_cov_trace_switch} for switch statements.
18048 @opindex fcf-protection
18049 @item -fcf-protection=@r{[}full@r{|}branch@r{|}return@r{|}none@r{|}check@r{]}
18050 Enable code instrumentation of control-flow transfers to increase
18051 program security by checking that target addresses of control-flow
18052 transfer instructions (such as indirect function call, function return,
18053 indirect jump) are valid. This prevents diverting the flow of control
18054 to an unexpected target. This is intended to protect against such
18055 threats as Return-oriented Programming (ROP), and similarly
18056 call/jmp-oriented programming (COP/JOP).
18058 The value @code{branch} tells the compiler to implement checking of
18059 validity of control-flow transfer at the point of indirect branch
18060 instructions, i.e.@: call/jmp instructions. The value @code{return}
18061 implements checking of validity at the point of returning from a
18062 function. The value @code{full} is an alias for specifying both
18063 @code{branch} and @code{return}. The value @code{none} turns off
18066 To override @option{-fcf-protection}, @option{-fcf-protection=none}
18067 needs to be added and then with @option{-fcf-protection=xxx}.
18069 The value @code{check} is used for the final link with link-time
18070 optimization (LTO). An error is issued if LTO object files are
18071 compiled with different @option{-fcf-protection} values. The
18072 value @code{check} is ignored at the compile time.
18074 The macro @code{__CET__} is defined when @option{-fcf-protection} is
18075 used. The first bit of @code{__CET__} is set to 1 for the value
18076 @code{branch} and the second bit of @code{__CET__} is set to 1 for
18079 You can also use the @code{nocf_check} attribute to identify
18080 which functions and calls should be skipped from instrumentation
18081 (@pxref{Function Attributes}).
18083 Currently the x86 GNU/Linux target provides an implementation based
18084 on Intel Control-flow Enforcement Technology (CET) which works for
18085 i686 processor or newer.
18087 @opindex fharden-compares
18088 @item -fharden-compares
18089 For every logical test that survives gimple optimizations and is
18090 @emph{not} the condition in a conditional branch (for example,
18091 conditions tested for conditional moves, or to store in boolean
18092 variables), emit extra code to compute and verify the reversed
18093 condition, and to call @code{__builtin_trap} if the results do not
18094 match. Use with @samp{-fharden-conditional-branches} to cover all
18097 @opindex fharden-conditional-branches
18098 @item -fharden-conditional-branches
18099 For every non-vectorized conditional branch that survives gimple
18100 optimizations, emit extra code to compute and verify the reversed
18101 condition, and to call @code{__builtin_trap} if the result is
18102 unexpected. Use with @samp{-fharden-compares} to cover all
18105 @opindex fharden-control-flow-redundancy
18106 @item -fharden-control-flow-redundancy
18107 Emit extra code to set booleans when entering basic blocks, and to
18108 verify and trap, at function exits, when the booleans do not form an
18109 execution path that is compatible with the control flow graph.
18111 Verification takes place before returns, before mandatory tail calls
18112 (see below) and, optionally, before escaping exceptions with
18113 @option{-fhardcfr-check-exceptions}, before returning calls with
18114 @option{-fhardcfr-check-returning-calls}, and before noreturn calls with
18115 @option{-fhardcfr-check-noreturn-calls}). Tuning options
18116 @option{--param hardcfr-max-blocks} and @option{--param
18117 hardcfr-max-inline-blocks} are available.
18119 Tail call optimization takes place too late to affect control flow
18120 redundancy, but calls annotated as mandatory tail calls by language
18121 front-ends, and any calls marked early enough as potential tail calls
18122 would also have verification issued before the call, but these
18123 possibilities are merely theoretical, as these conditions can only be
18124 met when using custom compiler plugins.
18126 @opindex fhardcfr-skip-leaf
18127 @item -fhardcfr-skip-leaf
18128 Disable @option{-fharden-control-flow-redundancy} in leaf functions.
18130 @opindex fhardcfr-check-exceptions
18131 @opindex fno-hardcfr-check-exceptions
18132 @item -fhardcfr-check-exceptions
18133 When @option{-fharden-control-flow-redundancy} is active, check the
18134 recorded execution path against the control flow graph at exception
18135 escape points, as if the function body was wrapped with a cleanup
18136 handler that performed the check and reraised. This option is enabled
18137 by default; use @option{-fno-hardcfr-check-exceptions} to disable it.
18139 @opindex fhardcfr-check-returning-calls
18140 @opindex fno-hardcfr-check-returning-calls
18141 @item -fhardcfr-check-returning-calls
18142 When @option{-fharden-control-flow-redundancy} is active, check the
18143 recorded execution path against the control flow graph before any
18144 function call immediately followed by a return of its result, if any, so
18145 as to not prevent tail-call optimization, whether or not it is
18146 ultimately optimized to a tail call.
18148 This option is enabled by default whenever sibling call optimizations
18149 are enabled (see @option{-foptimize-sibling-calls}), but it can be
18150 enabled (or disabled, using its negated form) explicitly, regardless of
18153 @opindex fhardcfr-check-noreturn-calls
18154 @item -fhardcfr-check-noreturn-calls=@r{[}always@r{|}no-xthrow@r{|}nothrow@r{|}never@r{]}
18155 When @option{-fharden-control-flow-redundancy} is active, check the
18156 recorded execution path against the control flow graph before
18157 @code{noreturn} calls, either all of them (@option{always}), those that
18158 aren't expected to return control to the caller through an exception
18159 (@option{no-xthrow}, the default), those that may not return control to
18160 the caller through an exception either (@option{nothrow}), or none of
18161 them (@option{never}).
18163 Checking before a @code{noreturn} function that may return control to
18164 the caller through an exception may cause checking to be performed more
18165 than once, if the exception is caught in the caller, whether by a
18166 handler or a cleanup. When @option{-fhardcfr-check-exceptions} is also
18167 enabled, the compiler will avoid associating a @code{noreturn} call with
18168 the implicitly-added cleanup handler, since it would be redundant with
18169 the check performed before the call, but other handlers or cleanups in
18170 the function, if activated, will modify the recorded execution path and
18171 check it again when another checkpoint is hit. The checkpoint may even
18172 be another @code{noreturn} call, so checking may end up performed
18175 Various optimizers may cause calls to be marked as @code{noreturn}
18176 and/or @code{nothrow}, even in the absence of the corresponding
18177 attributes, which may affect the placement of checks before calls, as
18178 well as the addition of implicit cleanup handlers for them. This
18179 unpredictability, and the fact that raising and reraising exceptions
18180 frequently amounts to implicitly calling @code{noreturn} functions, have
18181 made @option{no-xthrow} the default setting for this option: it excludes
18182 from the @code{noreturn} treatment only internal functions used to
18183 (re)raise exceptions, that are not affected by these optimizations.
18187 Enable a set of flags for C and C++ that improve the security of the
18188 generated code without affecting its ABI. The precise flags enabled
18189 may change between major releases of GCC, but are currently:
18191 @c Keep this in sync with print_help_hardened!
18193 -D_FORTIFY_SOURCE=3
18194 -D_GLIBCXX_ASSERTIONS
18195 -ftrivial-auto-var-init=zero
18196 -fPIE -pie -Wl,-z,relro,-z,now
18197 -fstack-protector-strong
18198 -fstack-clash-protection
18199 -fcf-protection=full @r{(x86 GNU/Linux only)}
18202 The list of options enabled by @option{-fhardened} can be generated using
18203 the @option{--help=hardened} option.
18205 When the system glibc is older than 2.35, @option{-D_FORTIFY_SOURCE=2}
18208 This option is intended to be used in production builds, not merely
18211 Currently, @option{-fhardened} is only supported on GNU/Linux targets.
18213 @option{-fhardened} only enables a particular option if it wasn't
18214 already specified anywhere on the command line. For instance,
18215 @option{-fhardened} @option{-fstack-protector} will only enable
18216 @option{-fstack-protector}, but not @option{-fstack-protector-strong}.
18218 @opindex fstack-protector
18219 @item -fstack-protector
18220 Emit extra code to check for buffer overflows, such as stack smashing
18221 attacks. This is done by adding a guard variable to functions with
18222 vulnerable objects. This includes functions that call @code{alloca}, and
18223 functions with buffers larger than or equal to 8 bytes. The guards are
18224 initialized when a function is entered and then checked when the function
18225 exits. If a guard check fails, an error message is printed and the program
18226 exits. Only variables that are actually allocated on the stack are
18227 considered, optimized away variables or variables allocated in registers
18230 @opindex fstack-protector-all
18231 @item -fstack-protector-all
18232 Like @option{-fstack-protector} except that all functions are protected.
18234 @opindex fstack-protector-strong
18235 @item -fstack-protector-strong
18236 Like @option{-fstack-protector} but includes additional functions to
18237 be protected --- those that have local array definitions, or have
18238 references to local frame addresses. Only variables that are actually
18239 allocated on the stack are considered, optimized away variables or variables
18240 allocated in registers don't count.
18242 @opindex fstack-protector-explicit
18243 @item -fstack-protector-explicit
18244 Like @option{-fstack-protector} but only protects those functions which
18245 have the @code{stack_protect} attribute.
18247 @opindex fstack-check
18248 @item -fstack-check
18249 Generate code to verify that you do not go beyond the boundary of the
18250 stack. You should specify this flag if you are running in an
18251 environment with multiple threads, but you only rarely need to specify it in
18252 a single-threaded environment since stack overflow is automatically
18253 detected on nearly all systems if there is only one stack.
18255 Note that this switch does not actually cause checking to be done; the
18256 operating system or the language runtime must do that. The switch causes
18257 generation of code to ensure that they see the stack being extended.
18259 You can additionally specify a string parameter: @samp{no} means no
18260 checking, @samp{generic} means force the use of old-style checking,
18261 @samp{specific} means use the best checking method and is equivalent
18262 to bare @option{-fstack-check}.
18264 Old-style checking is a generic mechanism that requires no specific
18265 target support in the compiler but comes with the following drawbacks:
18269 Modified allocation strategy for large objects: they are always
18270 allocated dynamically if their size exceeds a fixed threshold. Note this
18271 may change the semantics of some code.
18274 Fixed limit on the size of the static frame of functions: when it is
18275 topped by a particular function, stack checking is not reliable and
18276 a warning is issued by the compiler.
18279 Inefficiency: because of both the modified allocation strategy and the
18280 generic implementation, code performance is hampered.
18283 Note that old-style stack checking is also the fallback method for
18284 @samp{specific} if no target support has been added in the compiler.
18286 @samp{-fstack-check=} is designed for Ada's needs to detect infinite recursion
18287 and stack overflows. @samp{specific} is an excellent choice when compiling
18288 Ada code. It is not generally sufficient to protect against stack-clash
18289 attacks. To protect against those you want @samp{-fstack-clash-protection}.
18291 @opindex fstack-clash-protection
18292 @item -fstack-clash-protection
18293 Generate code to prevent stack clash style attacks. When this option is
18294 enabled, the compiler will only allocate one page of stack space at a time
18295 and each page is accessed immediately after allocation. Thus, it prevents
18296 allocations from jumping over any stack guard page provided by the
18299 Most targets do not fully support stack clash protection. However, on
18300 those targets @option{-fstack-clash-protection} will protect dynamic stack
18301 allocations. @option{-fstack-clash-protection} may also provide limited
18302 protection for static stack allocations if the target supports
18303 @option{-fstack-check=specific}.
18305 @opindex fstack-limit-register
18306 @opindex fstack-limit-symbol
18307 @opindex fno-stack-limit
18308 @item -fstack-limit-register=@var{reg}
18309 @itemx -fstack-limit-symbol=@var{sym}
18310 @itemx -fno-stack-limit
18311 Generate code to ensure that the stack does not grow beyond a certain value,
18312 either the value of a register or the address of a symbol. If a larger
18313 stack is required, a signal is raised at run time. For most targets,
18314 the signal is raised before the stack overruns the boundary, so
18315 it is possible to catch the signal without taking special precautions.
18317 For instance, if the stack starts at absolute address @samp{0x80000000}
18318 and grows downwards, you can use the flags
18319 @option{-fstack-limit-symbol=__stack_limit} and
18320 @option{-Wl,--defsym,__stack_limit=0x7ffe0000} to enforce a stack limit
18321 of 128KB@. Note that this may only work with the GNU linker.
18323 You can locally override stack limit checking by using the
18324 @code{no_stack_limit} function attribute (@pxref{Function Attributes}).
18326 @opindex fsplit-stack
18327 @item -fsplit-stack
18328 Generate code to automatically split the stack before it overflows.
18329 The resulting program has a discontiguous stack which can only
18330 overflow if the program is unable to allocate any more memory. This
18331 is most useful when running threaded programs, as it is no longer
18332 necessary to calculate a good stack size to use for each thread. This
18333 is currently only implemented for the x86 targets running
18336 When code compiled with @option{-fsplit-stack} calls code compiled
18337 without @option{-fsplit-stack}, there may not be much stack space
18338 available for the latter code to run. If compiling all code,
18339 including library code, with @option{-fsplit-stack} is not an option,
18340 then the linker can fix up these calls so that the code compiled
18341 without @option{-fsplit-stack} always has a large stack. Support for
18342 this is implemented in the gold linker in GNU binutils release 2.21
18345 @opindex fstrub=disable
18346 @item -fstrub=disable
18347 Disable stack scrubbing entirely, ignoring any @code{strub} attributes.
18348 See @xref{Common Type Attributes}.
18350 @opindex fstrub=strict
18351 @item -fstrub=strict
18352 Functions default to @code{strub} mode @code{disabled}, and apply
18353 @option{strict}ly the restriction that only functions associated with
18354 @code{strub}-@code{callable} modes (@code{at-calls}, @code{callable} and
18355 @code{always_inline} @code{internal}) are @code{callable} by functions
18356 with @code{strub}-enabled modes (@code{at-calls} and @code{internal}).
18358 @opindex fstrub=relaxed
18359 @item -fstrub=relaxed
18360 Restore the default stack scrub (@code{strub}) setting, namely,
18361 @code{strub} is only enabled as required by @code{strub} attributes
18362 associated with function and data types. @code{Relaxed} means that
18363 strub contexts are only prevented from calling functions explicitly
18364 associated with @code{strub} mode @code{disabled}. This option is only
18365 useful to override other @option{-fstrub=*} options that precede it in
18368 @opindex fstrub=at-calls
18369 @item -fstrub=at-calls
18370 Enable @code{at-calls} @code{strub} mode where viable. The primary use
18371 of this option is for testing. It exercises the @code{strub} machinery
18372 in scenarios strictly local to a translation unit. This @code{strub}
18373 mode modifies function interfaces, so any function that is visible to
18374 other translation units, or that has its address taken, will @emph{not}
18375 be affected by this option. Optimization options may also affect
18376 viability. See the @code{strub} attribute documentation for details on
18377 viability and eligibility requirements.
18379 @opindex fstrub=internal
18380 @item -fstrub=internal
18381 Enable @code{internal} @code{strub} mode where viable. The primary use
18382 of this option is for testing. This option is intended to exercise
18383 thoroughly parts of the @code{strub} machinery that implement the less
18384 efficient, but interface-preserving @code{strub} mode. Functions that
18385 would not be affected by this option are quite uncommon.
18387 @opindex fstrub=all
18389 Enable some @code{strub} mode where viable. When both strub modes are
18390 viable, @code{at-calls} is preferred. @option{-fdump-ipa-strubm} adds
18391 function attributes that tell which mode was selected for each function.
18392 The primary use of this option is for testing, to exercise thoroughly
18393 the @code{strub} machinery.
18395 @opindex fvtable-verify
18396 @item -fvtable-verify=@r{[}std@r{|}preinit@r{|}none@r{]}
18397 This option is only available when compiling C++ code.
18398 It turns on (or off, if using @option{-fvtable-verify=none}) the security
18399 feature that verifies at run time, for every virtual call, that
18400 the vtable pointer through which the call is made is valid for the type of
18401 the object, and has not been corrupted or overwritten. If an invalid vtable
18402 pointer is detected at run time, an error is reported and execution of the
18403 program is immediately halted.
18405 This option causes run-time data structures to be built at program startup,
18406 which are used for verifying the vtable pointers.
18407 The options @samp{std} and @samp{preinit}
18408 control the timing of when these data structures are built. In both cases the
18409 data structures are built before execution reaches @code{main}. Using
18410 @option{-fvtable-verify=std} causes the data structures to be built after
18411 shared libraries have been loaded and initialized.
18412 @option{-fvtable-verify=preinit} causes them to be built before shared
18413 libraries have been loaded and initialized.
18415 If this option appears multiple times in the command line with different
18416 values specified, @samp{none} takes highest priority over both @samp{std} and
18417 @samp{preinit}; @samp{preinit} takes priority over @samp{std}.
18419 @opindex fvtv-debug
18421 When used in conjunction with @option{-fvtable-verify=std} or
18422 @option{-fvtable-verify=preinit}, causes debug versions of the
18423 runtime functions for the vtable verification feature to be called.
18424 This flag also causes the compiler to log information about which
18425 vtable pointers it finds for each class.
18426 This information is written to a file named @file{vtv_set_ptr_data.log}
18427 in the directory named by the environment variable @env{VTV_LOGS_DIR}
18428 if that is defined or the current working directory otherwise.
18430 Note: This feature @emph{appends} data to the log file. If you want a fresh log
18431 file, be sure to delete any existing one.
18433 @opindex fvtv-counts
18435 This is a debugging flag. When used in conjunction with
18436 @option{-fvtable-verify=std} or @option{-fvtable-verify=preinit}, this
18437 causes the compiler to keep track of the total number of virtual calls
18438 it encounters and the number of verifications it inserts. It also
18439 counts the number of calls to certain run-time library functions
18440 that it inserts and logs this information for each compilation unit.
18441 The compiler writes this information to a file named
18442 @file{vtv_count_data.log} in the directory named by the environment
18443 variable @env{VTV_LOGS_DIR} if that is defined or the current working
18444 directory otherwise. It also counts the size of the vtable pointer sets
18445 for each class, and writes this information to @file{vtv_class_set_sizes.log}
18446 in the same directory.
18448 Note: This feature @emph{appends} data to the log files. To get fresh log
18449 files, be sure to delete any existing ones.
18451 @opindex finstrument-functions
18452 @item -finstrument-functions
18453 Generate instrumentation calls for entry and exit to functions. Just
18454 after function entry and just before function exit, the following
18455 profiling functions are called with the address of the current
18456 function and its call site. (On some platforms,
18457 @code{__builtin_return_address} does not work beyond the current
18458 function, so the call site information may not be available to the
18459 profiling functions otherwise.)
18462 void __cyg_profile_func_enter (void *this_fn,
18464 void __cyg_profile_func_exit (void *this_fn,
18468 The first argument is the address of the start of the current function,
18469 which may be looked up exactly in the symbol table.
18471 This instrumentation is also done for functions expanded inline in other
18472 functions. The profiling calls indicate where, conceptually, the
18473 inline function is entered and exited. This means that addressable
18474 versions of such functions must be available. If all your uses of a
18475 function are expanded inline, this may mean an additional expansion of
18476 code size. If you use @code{extern inline} in your C code, an
18477 addressable version of such functions must be provided. (This is
18478 normally the case anyway, but if you get lucky and the optimizer always
18479 expands the functions inline, you might have gotten away without
18480 providing static copies.)
18482 A function may be given the attribute @code{no_instrument_function}, in
18483 which case this instrumentation is not done. This can be used, for
18484 example, for the profiling functions listed above, high-priority
18485 interrupt routines, and any functions from which the profiling functions
18486 cannot safely be called (perhaps signal handlers, if the profiling
18487 routines generate output or allocate memory).
18488 @xref{Common Function Attributes}.
18490 @opindex finstrument-functions-once
18491 @item -finstrument-functions-once
18492 This is similar to @option{-finstrument-functions}, but the profiling
18493 functions are called only once per instrumented function, i.e. the first
18494 profiling function is called after the first entry into the instrumented
18495 function and the second profiling function is called before the exit
18496 corresponding to this first entry.
18498 The definition of @code{once} for the purpose of this option is a little
18499 vague because the implementation is not protected against data races.
18500 As a result, the implementation only guarantees that the profiling
18501 functions are called at @emph{least} once per process and at @emph{most}
18502 once per thread, but the calls are always paired, that is to say, if a
18503 thread calls the first function, then it will call the second function,
18504 unless it never reaches the exit of the instrumented function.
18506 @opindex finstrument-functions-exclude-file-list
18507 @item -finstrument-functions-exclude-file-list=@var{file},@var{file},@dots{}
18509 Set the list of functions that are excluded from instrumentation (see
18510 the description of @option{-finstrument-functions}). If the file that
18511 contains a function definition matches with one of @var{file}, then
18512 that function is not instrumented. The match is done on substrings:
18513 if the @var{file} parameter is a substring of the file name, it is
18514 considered to be a match.
18519 -finstrument-functions-exclude-file-list=/bits/stl,include/sys
18523 excludes any inline function defined in files whose pathnames
18524 contain @file{/bits/stl} or @file{include/sys}.
18526 If, for some reason, you want to include letter @samp{,} in one of
18527 @var{sym}, write @samp{\,}. For example,
18528 @option{-finstrument-functions-exclude-file-list='\,\,tmp'}
18529 (note the single quote surrounding the option).
18531 @opindex finstrument-functions-exclude-function-list
18532 @item -finstrument-functions-exclude-function-list=@var{sym},@var{sym},@dots{}
18534 This is similar to @option{-finstrument-functions-exclude-file-list},
18535 but this option sets the list of function names to be excluded from
18536 instrumentation. The function name to be matched is its user-visible
18537 name, such as @code{vector<int> blah(const vector<int> &)}, not the
18538 internal mangled name (e.g., @code{_Z4blahRSt6vectorIiSaIiEE}). The
18539 match is done on substrings: if the @var{sym} parameter is a substring
18540 of the function name, it is considered to be a match. For C99 and C++
18541 extended identifiers, the function name must be given in UTF-8, not
18542 using universal character names.
18544 @opindex fpatchable-function-entry
18545 @item -fpatchable-function-entry=@var{N}[,@var{M}]
18546 Generate @var{N} NOPs right at the beginning
18547 of each function, with the function entry point before the @var{M}th NOP.
18548 If @var{M} is omitted, it defaults to @code{0} so the
18549 function entry points to the address just at the first NOP.
18550 The NOP instructions reserve extra space which can be used to patch in
18551 any desired instrumentation at run time, provided that the code segment
18552 is writable. The amount of space is controllable indirectly via
18553 the number of NOPs; the NOP instruction used corresponds to the instruction
18554 emitted by the internal GCC back-end interface @code{gen_nop}. This behavior
18555 is target-specific and may also depend on the architecture variant and/or
18556 other compilation options.
18558 For run-time identification, the starting addresses of these areas,
18559 which correspond to their respective function entries minus @var{M},
18560 are additionally collected in the @code{__patchable_function_entries}
18561 section of the resulting binary.
18563 Note that the value of @code{__attribute__ ((patchable_function_entry
18564 (N,M)))} takes precedence over command-line option
18565 @option{-fpatchable-function-entry=N,M}. This can be used to increase
18566 the area size or to remove it completely on a single function.
18567 If @code{N=0}, no pad location is recorded.
18569 The NOP instructions are inserted at---and maybe before, depending on
18570 @var{M}---the function entry address, even before the prologue. On
18571 PowerPC with the ELFv2 ABI, for a function with dual entry points,
18572 the local entry point is this function entry address.
18574 The maximum value of @var{N} and @var{M} is 65535. On PowerPC with the
18575 ELFv2 ABI, for a function with dual entry points, the supported values
18576 for @var{M} are 0, 2, 6 and 14.
18580 @node Preprocessor Options
18581 @section Options Controlling the Preprocessor
18582 @cindex preprocessor options
18583 @cindex options, preprocessor
18585 These options control the C preprocessor, which is run on each C source
18586 file before actual compilation.
18588 If you use the @option{-E} option, nothing is done except preprocessing.
18589 Some of these options make sense only together with @option{-E} because
18590 they cause the preprocessor output to be unsuitable for actual
18593 In addition to the options listed here, there are a number of options
18594 to control search paths for include files documented in
18595 @ref{Directory Options}.
18596 Options to control preprocessor diagnostics are listed in
18597 @ref{Warning Options}.
18600 @include cppopts.texi
18603 @item -Wp,@var{option}
18604 You can use @option{-Wp,@var{option}} to bypass the compiler driver
18605 and pass @var{option} directly through to the preprocessor. If
18606 @var{option} contains commas, it is split into multiple options at the
18607 commas. However, many options are modified, translated or interpreted
18608 by the compiler driver before being passed to the preprocessor, and
18609 @option{-Wp} forcibly bypasses this phase. The preprocessor's direct
18610 interface is undocumented and subject to change, so whenever possible
18611 you should avoid using @option{-Wp} and let the driver handle the
18614 @opindex Xpreprocessor
18615 @item -Xpreprocessor @var{option}
18616 Pass @var{option} as an option to the preprocessor. You can use this to
18617 supply system-specific preprocessor options that GCC does not
18620 If you want to pass an option that takes an argument, you must use
18621 @option{-Xpreprocessor} twice, once for the option and once for the argument.
18623 @opindex no-integrated-cpp
18624 @item -no-integrated-cpp
18625 Perform preprocessing as a separate pass before compilation.
18626 By default, GCC performs preprocessing as an integrated part of
18627 input tokenization and parsing.
18628 If this option is provided, the appropriate language front end
18629 (@command{cc1}, @command{cc1plus}, or @command{cc1obj} for C, C++,
18630 and Objective-C, respectively) is instead invoked twice,
18631 once for preprocessing only and once for actual compilation
18632 of the preprocessed input.
18633 This option may be useful in conjunction with the @option{-B} or
18634 @option{-wrapper} options to specify an alternate preprocessor or
18635 perform additional processing of the program source between
18636 normal preprocessing and compilation.
18638 @opindex flarge-source-files
18639 @item -flarge-source-files
18640 Adjust GCC to expect large source files, at the expense of slower
18641 compilation and higher memory usage.
18643 Specifically, GCC normally tracks both column numbers and line numbers
18644 within source files and it normally prints both of these numbers in
18645 diagnostics. However, once it has processed a certain number of source
18646 lines, it stops tracking column numbers and only tracks line numbers.
18647 This means that diagnostics for later lines do not include column numbers.
18648 It also means that options like @option{-Wmisleading-indentation} cease to work
18649 at that point, although the compiler prints a note if this happens.
18650 Passing @option{-flarge-source-files} significantly increases the number
18651 of source lines that GCC can process before it stops tracking columns.
18655 @node Assembler Options
18656 @section Passing Options to the Assembler
18658 @c prevent bad page break with this line
18659 You can pass options to the assembler.
18663 @item -Wa,@var{option}
18664 Pass @var{option} as an option to the assembler. If @var{option}
18665 contains commas, it is split into multiple options at the commas.
18667 @opindex Xassembler
18668 @item -Xassembler @var{option}
18669 Pass @var{option} as an option to the assembler. You can use this to
18670 supply system-specific assembler options that GCC does not
18673 If you want to pass an option that takes an argument, you must use
18674 @option{-Xassembler} twice, once for the option and once for the argument.
18679 @section Options for Linking
18680 @cindex link options
18681 @cindex options, linking
18683 These options come into play when the compiler links object files into
18684 an executable output file. They are meaningless if the compiler is
18685 not doing a link step.
18689 @item @var{object-file-name}
18690 A file name that does not end in a special recognized suffix is
18691 considered to name an object file or library. (Object files are
18692 distinguished from libraries by the linker according to the file
18693 contents.) If linking is done, these object files are used as input
18702 If any of these options is used, then the linker is not run, and
18703 object file names should not be used as arguments. @xref{Overall
18706 @opindex flinker-output
18707 @item -flinker-output=@var{type}
18708 This option controls code generation of the link-time optimizer. By
18709 default the linker output is automatically determined by the linker
18710 plugin. For debugging the compiler and if incremental linking with a
18711 non-LTO object file is desired, it may be useful to control the type
18714 If @var{type} is @samp{exec}, code generation produces a static
18715 binary. In this case @option{-fpic} and @option{-fpie} are both
18718 If @var{type} is @samp{dyn}, code generation produces a shared
18719 library. In this case @option{-fpic} or @option{-fPIC} is preserved,
18720 but not enabled automatically. This allows to build shared libraries
18721 without position-independent code on architectures where this is
18722 possible, i.e.@: on x86.
18724 If @var{type} is @samp{pie}, code generation produces an @option{-fpie}
18725 executable. This results in similar optimizations as @samp{exec}
18726 except that @option{-fpie} is not disabled if specified at compilation
18729 If @var{type} is @samp{rel}, the compiler assumes that incremental linking is
18730 done. The sections containing intermediate code for link-time optimization are
18731 merged, pre-optimized, and output to the resulting object file. In addition, if
18732 @option{-ffat-lto-objects} is specified, binary code is produced for future
18733 non-LTO linking. The object file produced by incremental linking is smaller
18734 than a static library produced from the same object files. At link time the
18735 result of incremental linking also loads faster than a static
18736 library assuming that the majority of objects in the library are used.
18738 Finally @samp{nolto-rel} configures the compiler for incremental linking where
18739 code generation is forced, a final binary is produced, and the intermediate
18740 code for later link-time optimization is stripped. When multiple object files
18741 are linked together the resulting code is better optimized than with
18742 link-time optimizations disabled (for example, cross-module inlining
18743 happens), but most of benefits of whole program optimizations are lost.
18745 During the incremental link (by @option{-r}) the linker plugin defaults to
18746 @option{rel}. With current interfaces to GNU Binutils it is however not
18747 possible to incrementally link LTO objects and non-LTO objects into a single
18748 mixed object file. If any of object files in incremental link cannot
18749 be used for link-time optimization, the linker plugin issues a warning and
18750 uses @samp{nolto-rel}. To maintain whole program optimization, it is
18751 recommended to link such objects into static library instead. Alternatively it
18752 is possible to use H.J. Lu's binutils with support for mixed objects.
18754 @opindex fuse-ld=bfd
18756 Use the @command{bfd} linker instead of the default linker.
18758 @opindex fuse-ld=gold
18759 @item -fuse-ld=gold
18760 Use the @command{gold} linker instead of the default linker.
18762 @opindex fuse-ld=lld
18764 Use the LLVM @command{lld} linker instead of the default linker.
18766 @opindex fuse-ld=mold
18767 @item -fuse-ld=mold
18768 Use the Modern Linker (@command{mold}) instead of the default linker.
18772 @item -l@var{library}
18773 @itemx -l @var{library}
18774 Search the library named @var{library} when linking. (The second
18775 alternative with the library as a separate argument is only for
18776 POSIX compliance and is not recommended.)
18778 The @option{-l} option is passed directly to the linker by GCC. Refer
18779 to your linker documentation for exact details. The general
18780 description below applies to the GNU linker.
18782 The linker searches a standard list of directories for the library.
18783 The directories searched include several standard system directories
18784 plus any that you specify with @option{-L}.
18786 Static libraries are archives of object files, and have file names
18787 like @file{lib@var{library}.a}. Some targets also support shared
18788 libraries, which typically have names like @file{lib@var{library}.so}.
18789 If both static and shared libraries are found, the linker gives
18790 preference to linking with the shared library unless the
18791 @option{-static} option is used.
18793 It makes a difference where in the command you write this option; the
18794 linker searches and processes libraries and object files in the order they
18795 are specified. Thus, @samp{foo.o -lz bar.o} searches library @samp{z}
18796 after file @file{foo.o} but before @file{bar.o}. If @file{bar.o} refers
18797 to functions in @samp{z}, those functions may not be loaded.
18801 You need this special case of the @option{-l} option in order to
18802 link an Objective-C or Objective-C++ program.
18804 @opindex nostartfiles
18805 @item -nostartfiles
18806 Do not use the standard system startup files when linking.
18807 The standard system libraries are used normally, unless @option{-nostdlib},
18808 @option{-nolibc}, or @option{-nodefaultlibs} is used.
18810 @opindex nodefaultlibs
18811 @item -nodefaultlibs
18812 Do not use the standard system libraries when linking.
18813 Only the libraries you specify are passed to the linker, and options
18814 specifying linkage of the system libraries, such as @option{-static-libgcc}
18815 or @option{-shared-libgcc}, are ignored.
18816 The standard startup files are used normally, unless @option{-nostartfiles}
18819 The compiler may generate calls to @code{memcmp},
18820 @code{memset}, @code{memcpy} and @code{memmove}.
18821 These entries are usually resolved by entries in
18822 libc. These entry points should be supplied through some other
18823 mechanism when this option is specified.
18827 Do not use the C library or system libraries tightly coupled with it when
18828 linking. Still link with the startup files, @file{libgcc} or toolchain
18829 provided language support libraries such as @file{libgnat}, @file{libgfortran}
18830 or @file{libstdc++} unless options preventing their inclusion are used as
18831 well. This typically removes @option{-lc} from the link command line, as well
18832 as system libraries that normally go with it and become meaningless when
18833 absence of a C library is assumed, for example @option{-lpthread} or
18834 @option{-lm} in some configurations. This is intended for bare-board
18835 targets when there is indeed no C library available.
18839 Do not use the standard system startup files or libraries when linking.
18840 No startup files and only the libraries you specify are passed to
18841 the linker, and options specifying linkage of the system libraries, such as
18842 @option{-static-libgcc} or @option{-shared-libgcc}, are ignored.
18844 The compiler may generate calls to @code{memcmp}, @code{memset},
18845 @code{memcpy} and @code{memmove}.
18846 These entries are usually resolved by entries in
18847 libc. These entry points should be supplied through some other
18848 mechanism when this option is specified.
18850 @cindex @option{-lgcc}, use with @option{-nostdlib}
18851 @cindex @option{-nostdlib} and unresolved references
18852 @cindex unresolved references and @option{-nostdlib}
18853 @cindex @option{-lgcc}, use with @option{-nodefaultlibs}
18854 @cindex @option{-nodefaultlibs} and unresolved references
18855 @cindex unresolved references and @option{-nodefaultlibs}
18856 One of the standard libraries bypassed by @option{-nostdlib} and
18857 @option{-nodefaultlibs} is @file{libgcc.a}, a library of internal subroutines
18858 which GCC uses to overcome shortcomings of particular machines, or special
18859 needs for some languages.
18860 (@xref{Interface,,Interfacing to GCC Output,gccint,GNU Compiler
18861 Collection (GCC) Internals},
18862 for more discussion of @file{libgcc.a}.)
18863 In most cases, you need @file{libgcc.a} even when you want to avoid
18864 other standard libraries. In other words, when you specify @option{-nostdlib}
18865 or @option{-nodefaultlibs} you should usually specify @option{-lgcc} as well.
18866 This ensures that you have no unresolved references to internal GCC
18867 library subroutines.
18868 (An example of such an internal subroutine is @code{__main}, used to ensure C++
18869 constructors are called; @pxref{Collect2,,@code{collect2}, gccint,
18870 GNU Compiler Collection (GCC) Internals}.)
18872 @opindex nostdlib++
18874 Do not implicitly link with standard C++ libraries.
18878 @item -e @var{entry}
18879 @itemx --entry=@var{entry}
18881 Specify that the program entry point is @var{entry}. The argument is
18882 interpreted by the linker; the GNU linker accepts either a symbol name
18887 Produce a dynamically linked position independent executable on targets
18888 that support it. For predictable results, you must also specify the same
18889 set of options used for compilation (@option{-fpie}, @option{-fPIE},
18890 or model suboptions) when you specify this linker option.
18894 Don't produce a dynamically linked position independent executable.
18896 @opindex static-pie
18898 Produce a static position independent executable on targets that support
18899 it. A static position independent executable is similar to a static
18900 executable, but can be loaded at any address without a dynamic linker.
18901 For predictable results, you must also specify the same set of options
18902 used for compilation (@option{-fpie}, @option{-fPIE}, or model
18903 suboptions) when you specify this linker option.
18907 Link with the POSIX threads library. This option is supported on
18908 GNU/Linux targets, most other Unix derivatives, and also on
18909 x86 Cygwin and MinGW targets. On some targets this option also sets
18910 flags for the preprocessor, so it should be used consistently for both
18911 compilation and linking.
18915 Produce a relocatable object as output. This is also known as partial
18920 Pass the flag @option{-export-dynamic} to the ELF linker, on targets
18921 that support it. This instructs the linker to add all symbols, not
18922 only used ones, to the dynamic symbol table. This option is needed
18923 for some uses of @code{dlopen} or to allow obtaining backtraces
18924 from within a program.
18928 Remove all symbol table and relocation information from the executable.
18932 On systems that support dynamic linking, this overrides @option{-pie}
18933 and prevents linking with the shared libraries. On other systems, this
18934 option has no effect.
18938 Produce a shared object which can then be linked with other objects to
18939 form an executable. Not all systems support this option. For predictable
18940 results, you must also specify the same set of options used for compilation
18941 (@option{-fpic}, @option{-fPIC}, or model suboptions) when
18942 you specify this linker option.@footnote{On some systems, @samp{gcc -shared}
18943 needs to build supplementary stub code for constructors to work. On
18944 multi-libbed systems, @samp{gcc -shared} must select the correct support
18945 libraries to link against. Failing to supply the correct flags may lead
18946 to subtle defects. Supplying them in cases where they are not necessary
18947 is innocuous. @option{-shared} suppresses the addition of startup code
18948 to alter the floating-point environment as done with @option{-ffast-math},
18949 @option{-Ofast} or @option{-funsafe-math-optimizations} on some targets.}
18951 @opindex shared-libgcc
18952 @opindex static-libgcc
18953 @item -shared-libgcc
18954 @itemx -static-libgcc
18955 On systems that provide @file{libgcc} as a shared library, these options
18956 force the use of either the shared or static version, respectively.
18957 If no shared version of @file{libgcc} was built when the compiler was
18958 configured, these options have no effect.
18960 There are several situations in which an application should use the
18961 shared @file{libgcc} instead of the static version. The most common
18962 of these is when the application wishes to throw and catch exceptions
18963 across different shared libraries. In that case, each of the libraries
18964 as well as the application itself should use the shared @file{libgcc}.
18966 Therefore, the G++ driver automatically adds @option{-shared-libgcc}
18967 whenever you build a shared library or a main executable, because C++
18968 programs typically use exceptions, so this is the right thing to do.
18970 If, instead, you use the GCC driver to create shared libraries, you may
18971 find that they are not always linked with the shared @file{libgcc}.
18972 If GCC finds, at its configuration time, that you have a non-GNU linker
18973 or a GNU linker that does not support option @option{--eh-frame-hdr},
18974 it links the shared version of @file{libgcc} into shared libraries
18975 by default. Otherwise, it takes advantage of the linker and optimizes
18976 away the linking with the shared version of @file{libgcc}, linking with
18977 the static version of libgcc by default. This allows exceptions to
18978 propagate through such shared libraries, without incurring relocation
18979 costs at library load time.
18981 However, if a library or main executable is supposed to throw or catch
18982 exceptions, you must link it using the G++ driver, or using the option
18983 @option{-shared-libgcc}, such that it is linked with the shared
18986 @opindex static-libasan
18987 @item -static-libasan
18988 When the @option{-fsanitize=address} option is used to link a program,
18989 the GCC driver automatically links against @option{libasan}. If
18990 @file{libasan} is available as a shared library, and the @option{-static}
18991 option is not used, then this links against the shared version of
18992 @file{libasan}. The @option{-static-libasan} option directs the GCC
18993 driver to link @file{libasan} statically, without necessarily linking
18994 other libraries statically.
18996 @opindex static-libtsan
18997 @item -static-libtsan
18998 When the @option{-fsanitize=thread} option is used to link a program,
18999 the GCC driver automatically links against @option{libtsan}. If
19000 @file{libtsan} is available as a shared library, and the @option{-static}
19001 option is not used, then this links against the shared version of
19002 @file{libtsan}. The @option{-static-libtsan} option directs the GCC
19003 driver to link @file{libtsan} statically, without necessarily linking
19004 other libraries statically.
19006 @opindex static-liblsan
19007 @item -static-liblsan
19008 When the @option{-fsanitize=leak} option is used to link a program,
19009 the GCC driver automatically links against @option{liblsan}. If
19010 @file{liblsan} is available as a shared library, and the @option{-static}
19011 option is not used, then this links against the shared version of
19012 @file{liblsan}. The @option{-static-liblsan} option directs the GCC
19013 driver to link @file{liblsan} statically, without necessarily linking
19014 other libraries statically.
19016 @opindex static-libubsan
19017 @item -static-libubsan
19018 When the @option{-fsanitize=undefined} option is used to link a program,
19019 the GCC driver automatically links against @option{libubsan}. If
19020 @file{libubsan} is available as a shared library, and the @option{-static}
19021 option is not used, then this links against the shared version of
19022 @file{libubsan}. The @option{-static-libubsan} option directs the GCC
19023 driver to link @file{libubsan} statically, without necessarily linking
19024 other libraries statically.
19026 @opindex static-libstdc++
19027 @item -static-libstdc++
19028 When the @command{g++} program is used to link a C++ program, it
19029 normally automatically links against @option{libstdc++}. If
19030 @file{libstdc++} is available as a shared library, and the
19031 @option{-static} option is not used, then this links against the
19032 shared version of @file{libstdc++}. That is normally fine. However, it
19033 is sometimes useful to freeze the version of @file{libstdc++} used by
19034 the program without going all the way to a fully static link. The
19035 @option{-static-libstdc++} option directs the @command{g++} driver to
19036 link @file{libstdc++} statically, without necessarily linking other
19037 libraries statically.
19041 Bind references to global symbols when building a shared object. Warn
19042 about any unresolved references (unless overridden by the link editor
19043 option @option{-Xlinker -z -Xlinker defs}). Only a few systems support
19047 @cindex linker script
19048 @item -T @var{script}
19049 Use @var{script} as the linker script. This option is supported by most
19050 systems using the GNU linker. On some targets, such as bare-board
19051 targets without an operating system, the @option{-T} option may be required
19052 when linking to avoid references to undefined symbols.
19055 @item -Xlinker @var{option}
19056 Pass @var{option} as an option to the linker. You can use this to
19057 supply system-specific linker options that GCC does not recognize.
19059 If you want to pass an option that takes a separate argument, you must use
19060 @option{-Xlinker} twice, once for the option and once for the argument.
19061 For example, to pass @option{-assert definitions}, you must write
19062 @option{-Xlinker -assert -Xlinker definitions}. It does not work to write
19063 @option{-Xlinker "-assert definitions"}, because this passes the entire
19064 string as a single argument, which is not what the linker expects.
19066 When using the GNU linker, it is usually more convenient to pass
19067 arguments to linker options using the @option{@var{option}=@var{value}}
19068 syntax than as separate arguments. For example, you can specify
19069 @option{-Xlinker -Map=output.map} rather than
19070 @option{-Xlinker -Map -Xlinker output.map}. Other linkers may not support
19071 this syntax for command-line options.
19074 @item -Wl,@var{option}
19075 Pass @var{option} as an option to the linker. If @var{option} contains
19076 commas, it is split into multiple options at the commas. You can use this
19077 syntax to pass an argument to the option.
19078 For example, @option{-Wl,-Map,output.map} passes @option{-Map output.map} to the
19079 linker. When using the GNU linker, you can also get the same effect with
19080 @option{-Wl,-Map=output.map}.
19083 @item -u @var{symbol}
19084 Pretend the symbol @var{symbol} is undefined, to force linking of
19085 library modules to define it. You can use @option{-u} multiple times with
19086 different symbols to force loading of additional library modules.
19089 @item -z @var{keyword}
19090 @option{-z} is passed directly on to the linker along with the keyword
19091 @var{keyword}. See the section in the documentation of your linker for
19092 permitted values and their meanings.
19095 @node Directory Options
19096 @section Options for Directory Search
19097 @cindex directory options
19098 @cindex options, directory search
19099 @cindex search path
19101 These options specify directories to search for header files, for
19102 libraries and for parts of the compiler:
19105 @include cppdiropts.texi
19107 @opindex iplugindir=
19108 @item -iplugindir=@var{dir}
19109 Set the directory to search for plugins that are passed
19110 by @option{-fplugin=@var{name}} instead of
19111 @option{-fplugin=@var{path}/@var{name}.so}. This option is not meant
19112 to be used by the user, but only passed by the driver.
19116 Add directory @var{dir} to the list of directories to be searched
19120 @item -B@var{prefix}
19121 This option specifies where to find the executables, libraries,
19122 include files, and data files of the compiler itself.
19124 The compiler driver program runs one or more of the subprograms
19125 @command{cpp}, @command{cc1}, @command{as} and @command{ld}. It tries
19126 @var{prefix} as a prefix for each program it tries to run, both with and
19127 without @samp{@var{machine}/@var{version}/} for the corresponding target
19128 machine and compiler version.
19130 For each subprogram to be run, the compiler driver first tries the
19131 @option{-B} prefix, if any. If that name is not found, or if @option{-B}
19132 is not specified, the driver tries two standard prefixes,
19133 @file{/usr/lib/gcc/} and @file{/usr/local/lib/gcc/}. If neither of
19134 those results in a file name that is found, the unmodified program
19135 name is searched for using the directories specified in your
19136 @env{PATH} environment variable.
19138 The compiler checks to see if the path provided by @option{-B}
19139 refers to a directory, and if necessary it adds a directory
19140 separator character at the end of the path.
19142 @option{-B} prefixes that effectively specify directory names also apply
19143 to libraries in the linker, because the compiler translates these
19144 options into @option{-L} options for the linker. They also apply to
19145 include files in the preprocessor, because the compiler translates these
19146 options into @option{-isystem} options for the preprocessor. In this case,
19147 the compiler appends @samp{include} to the prefix.
19149 The runtime support file @file{libgcc.a} can also be searched for using
19150 the @option{-B} prefix, if needed. If it is not found there, the two
19151 standard prefixes above are tried, and that is all. The file is left
19152 out of the link if it is not found by those means.
19154 Another way to specify a prefix much like the @option{-B} prefix is to use
19155 the environment variable @env{GCC_EXEC_PREFIX}. @xref{Environment
19158 As a special kludge, if the path provided by @option{-B} is
19159 @file{[dir/]stage@var{N}/}, where @var{N} is a number in the range 0 to
19160 9, then it is replaced by @file{[dir/]include}. This is to help
19161 with boot-strapping the compiler.
19163 @opindex no-canonical-prefixes
19164 @item -no-canonical-prefixes
19165 Do not expand any symbolic links, resolve references to @samp{/../}
19166 or @samp{/./}, or make the path absolute when generating a relative
19170 @item --sysroot=@var{dir}
19171 Use @var{dir} as the logical root directory for headers and libraries.
19172 For example, if the compiler normally searches for headers in
19173 @file{/usr/include} and libraries in @file{/usr/lib}, it instead
19174 searches @file{@var{dir}/usr/include} and @file{@var{dir}/usr/lib}.
19176 If you use both this option and the @option{-isysroot} option, then
19177 the @option{--sysroot} option applies to libraries, but the
19178 @option{-isysroot} option applies to header files.
19180 The GNU linker (beginning with version 2.16) has the necessary support
19181 for this option. If your linker does not support this option, the
19182 header file aspect of @option{--sysroot} still works, but the
19183 library aspect does not.
19185 @opindex no-sysroot-suffix
19186 @item --no-sysroot-suffix
19187 For some targets, a suffix is added to the root directory specified
19188 with @option{--sysroot}, depending on the other options used, so that
19189 headers may for example be found in
19190 @file{@var{dir}/@var{suffix}/usr/include} instead of
19191 @file{@var{dir}/usr/include}. This option disables the addition of
19196 @node Code Gen Options
19197 @section Options for Code Generation Conventions
19198 @cindex code generation conventions
19199 @cindex options, code generation
19200 @cindex run-time options
19202 These machine-independent options control the interface conventions
19203 used in code generation.
19205 Most of them have both positive and negative forms; the negative form
19206 of @option{-ffoo} is @option{-fno-foo}. In the table below, only
19207 one of the forms is listed---the one that is not the default. You
19208 can figure out the other form by either removing @samp{no-} or adding
19212 @opindex fstack_reuse
19213 @item -fstack-reuse=@var{reuse-level}
19214 This option controls stack space reuse for user declared local/auto variables
19215 and compiler generated temporaries. @var{reuse_level} can be @samp{all},
19216 @samp{named_vars}, or @samp{none}. @samp{all} enables stack reuse for all
19217 local variables and temporaries, @samp{named_vars} enables the reuse only for
19218 user defined local variables with names, and @samp{none} disables stack reuse
19219 completely. The default value is @samp{all}. The option is needed when the
19220 program extends the lifetime of a scoped local variable or a compiler generated
19221 temporary beyond the end point defined by the language. When a lifetime of
19222 a variable ends, and if the variable lives in memory, the optimizing compiler
19223 has the freedom to reuse its stack space with other temporaries or scoped
19224 local variables whose live range does not overlap with it. Legacy code extending
19225 local lifetime is likely to break with the stack reuse optimization.
19244 if (*p == 10) // out of scope use of local1
19255 A(int k) : i(k), j(k) @{ @}
19262 void foo(const A& ar)
19269 foo(A(10)); // temp object's lifetime ends when foo returns
19275 ap->i+= 10; // ap references out of scope temp whose space
19276 // is reused with a. What is the value of ap->i?
19281 The lifetime of a compiler generated temporary is well defined by the C++
19282 standard. When a lifetime of a temporary ends, and if the temporary lives
19283 in memory, the optimizing compiler has the freedom to reuse its stack
19284 space with other temporaries or scoped local variables whose live range
19285 does not overlap with it. However some of the legacy code relies on
19286 the behavior of older compilers in which temporaries' stack space is
19287 not reused, the aggressive stack reuse can lead to runtime errors. This
19288 option is used to control the temporary stack reuse optimization.
19292 This option generates traps for signed overflow on addition, subtraction,
19293 multiplication operations.
19294 The options @option{-ftrapv} and @option{-fwrapv} override each other, so using
19295 @option{-ftrapv} @option{-fwrapv} on the command-line results in
19296 @option{-fwrapv} being effective. Note that only active options override, so
19297 using @option{-ftrapv} @option{-fwrapv} @option{-fno-wrapv} on the command-line
19298 results in @option{-ftrapv} being effective.
19302 This option instructs the compiler to assume that signed arithmetic
19303 overflow of addition, subtraction and multiplication wraps around
19304 using twos-complement representation. This flag enables some optimizations
19305 and disables others.
19306 The options @option{-ftrapv} and @option{-fwrapv} override each other, so using
19307 @option{-ftrapv} @option{-fwrapv} on the command-line results in
19308 @option{-fwrapv} being effective. Note that only active options override, so
19309 using @option{-ftrapv} @option{-fwrapv} @option{-fno-wrapv} on the command-line
19310 results in @option{-ftrapv} being effective.
19312 @opindex fwrapv-pointer
19313 @item -fwrapv-pointer
19314 This option instructs the compiler to assume that pointer arithmetic
19315 overflow on addition and subtraction wraps around using twos-complement
19316 representation. This flag disables some optimizations which assume
19317 pointer overflow is invalid.
19319 @opindex fstrict-overflow
19320 @item -fstrict-overflow
19321 This option implies @option{-fno-wrapv} @option{-fno-wrapv-pointer} and when
19322 negated implies @option{-fwrapv} @option{-fwrapv-pointer}.
19324 @opindex fexceptions
19326 Enable exception handling. Generates extra code needed to propagate
19327 exceptions. For some targets, this implies GCC generates frame
19328 unwind information for all functions, which can produce significant data
19329 size overhead, although it does not affect execution. If you do not
19330 specify this option, GCC enables it by default for languages like
19331 C++ that normally require exception handling, and disables it for
19332 languages like C that do not normally require it. However, you may need
19333 to enable this option when compiling C code that needs to interoperate
19334 properly with exception handlers written in C++. You may also wish to
19335 disable this option if you are compiling older C++ programs that don't
19336 use exception handling.
19338 @opindex fnon-call-exceptions
19339 @item -fnon-call-exceptions
19340 Generate code that allows trapping instructions to throw exceptions.
19341 Note that this requires platform-specific runtime support that does
19342 not exist everywhere. Moreover, it only allows @emph{trapping}
19343 instructions to throw exceptions, i.e.@: memory references or floating-point
19344 instructions. It does not allow exceptions to be thrown from
19345 arbitrary signal handlers such as @code{SIGALRM}. This enables
19346 @option{-fexceptions}.
19348 @opindex fdelete-dead-exceptions
19349 @item -fdelete-dead-exceptions
19350 Consider that instructions that may throw exceptions but don't otherwise
19351 contribute to the execution of the program can be optimized away.
19352 This does not affect calls to functions except those with the
19353 @code{pure} or @code{const} attributes.
19354 This option is enabled by default for the Ada and C++ compilers, as permitted by
19355 the language specifications.
19356 Optimization passes that cause dead exceptions to be removed are enabled independently at different optimization levels.
19358 @opindex funwind-tables
19359 @item -funwind-tables
19360 Similar to @option{-fexceptions}, except that it just generates any needed
19361 static data, but does not affect the generated code in any other way.
19362 You normally do not need to enable this option; instead, a language processor
19363 that needs this handling enables it on your behalf.
19365 @opindex fasynchronous-unwind-tables
19366 @item -fasynchronous-unwind-tables
19367 Generate unwind table in DWARF format, if supported by target machine. The
19368 table is exact at each instruction boundary, so it can be used for stack
19369 unwinding from asynchronous events (such as debugger or garbage collector).
19371 @opindex fno-gnu-unique
19372 @opindex fgnu-unique
19373 @item -fno-gnu-unique
19374 On systems with recent GNU assembler and C library, the C++ compiler
19375 uses the @code{STB_GNU_UNIQUE} binding to make sure that definitions
19376 of template static data members and static local variables in inline
19377 functions are unique even in the presence of @code{RTLD_LOCAL}; this
19378 is necessary to avoid problems with a library used by two different
19379 @code{RTLD_LOCAL} plugins depending on a definition in one of them and
19380 therefore disagreeing with the other one about the binding of the
19381 symbol. But this causes @code{dlclose} to be ignored for affected
19382 DSOs; if your program relies on reinitialization of a DSO via
19383 @code{dlclose} and @code{dlopen}, you can use
19384 @option{-fno-gnu-unique}.
19386 @opindex fpcc-struct-return
19387 @item -fpcc-struct-return
19388 Return ``short'' @code{struct} and @code{union} values in memory like
19389 longer ones, rather than in registers. This convention is less
19390 efficient, but it has the advantage of allowing intercallability between
19391 GCC-compiled files and files compiled with other compilers, particularly
19392 the Portable C Compiler (pcc).
19394 The precise convention for returning structures in memory depends
19395 on the target configuration macros.
19397 Short structures and unions are those whose size and alignment match
19398 that of some integer type.
19400 @strong{Warning:} code compiled with the @option{-fpcc-struct-return}
19401 switch is not binary compatible with code compiled with the
19402 @option{-freg-struct-return} switch.
19403 Use it to conform to a non-default application binary interface.
19405 @opindex freg-struct-return
19406 @item -freg-struct-return
19407 Return @code{struct} and @code{union} values in registers when possible.
19408 This is more efficient for small structures than
19409 @option{-fpcc-struct-return}.
19411 If you specify neither @option{-fpcc-struct-return} nor
19412 @option{-freg-struct-return}, GCC defaults to whichever convention is
19413 standard for the target. If there is no standard convention, GCC
19414 defaults to @option{-fpcc-struct-return}, except on targets where GCC is
19415 the principal compiler. In those cases, we can choose the standard, and
19416 we chose the more efficient register return alternative.
19418 @strong{Warning:} code compiled with the @option{-freg-struct-return}
19419 switch is not binary compatible with code compiled with the
19420 @option{-fpcc-struct-return} switch.
19421 Use it to conform to a non-default application binary interface.
19423 @opindex fshort-enums
19424 @item -fshort-enums
19425 Allocate to an @code{enum} type only as many bytes as it needs for the
19426 declared range of possible values. Specifically, the @code{enum} type
19427 is equivalent to the smallest integer type that has enough room.
19428 This option has no effect for an enumeration type with a fixed underlying
19431 @strong{Warning:} the @option{-fshort-enums} switch causes GCC to generate
19432 code that is not binary compatible with code generated without that switch.
19433 Use it to conform to a non-default application binary interface.
19435 @opindex fshort-wchar
19436 @item -fshort-wchar
19437 Override the underlying type for @code{wchar_t} to be @code{short
19438 unsigned int} instead of the default for the target. This option is
19439 useful for building programs to run under WINE@.
19441 @strong{Warning:} the @option{-fshort-wchar} switch causes GCC to generate
19442 code that is not binary compatible with code generated without that switch.
19443 Use it to conform to a non-default application binary interface.
19446 @opindex fno-common
19447 @cindex tentative definitions
19449 In C code, this option controls the placement of global variables
19450 defined without an initializer, known as @dfn{tentative definitions}
19451 in the C standard. Tentative definitions are distinct from declarations
19452 of a variable with the @code{extern} keyword, which do not allocate storage.
19454 The default is @option{-fno-common}, which specifies that the compiler places
19455 uninitialized global variables in the BSS section of the object file.
19456 This inhibits the merging of tentative definitions by the linker so you get a
19457 multiple-definition error if the same variable is accidentally defined in more
19458 than one compilation unit.
19460 The @option{-fcommon} places uninitialized global variables in a common block.
19461 This allows the linker to resolve all tentative definitions of the same variable
19462 in different compilation units to the same object, or to a non-tentative
19463 definition. This behavior is inconsistent with C++, and on many targets implies
19464 a speed and code size penalty on global variable references. It is mainly
19465 useful to enable legacy code to link without errors.
19470 Ignore the @code{#ident} directive.
19472 @opindex finhibit-size-directive
19473 @item -finhibit-size-directive
19474 Don't output a @code{.size} assembler directive, or anything else that
19475 would cause trouble if the function is split in the middle, and the
19476 two halves are placed at locations far apart in memory. This option is
19477 used when compiling @file{crtstuff.c}; you should not need to use it
19480 @opindex fverbose-asm
19481 @item -fverbose-asm
19482 Put extra commentary information in the generated assembly code to
19483 make it more readable. This option is generally only of use to those
19484 who actually need to read the generated assembly code (perhaps while
19485 debugging the compiler itself).
19487 @option{-fno-verbose-asm}, the default, causes the
19488 extra information to be omitted and is useful when comparing two assembler
19491 The added comments include:
19496 information on the compiler version and command-line options,
19499 the source code lines associated with the assembly instructions,
19500 in the form FILENAME:LINENUMBER:CONTENT OF LINE,
19503 hints on which high-level expressions correspond to
19504 the various assembly instruction operands.
19508 For example, given this C source file:
19516 for (i = 0; i < n; i++)
19523 compiling to (x86_64) assembly via @option{-S} and emitting the result
19524 direct to stdout via @option{-o} @option{-}
19527 gcc -S test.c -fverbose-asm -Os -o -
19530 gives output similar to this:
19534 # GNU C11 (GCC) version 7.0.0 20160809 (experimental) (x86_64-pc-linux-gnu)
19541 .type test, @@function
19545 # test.c:4: int total = 0;
19546 xorl %eax, %eax # <retval>
19547 # test.c:6: for (i = 0; i < n; i++)
19548 xorl %edx, %edx # i
19550 # test.c:6: for (i = 0; i < n; i++)
19551 cmpl %edi, %edx # n, i
19553 # test.c:7: total += i * i;
19554 movl %edx, %ecx # i, tmp92
19555 imull %edx, %ecx # i, tmp92
19556 # test.c:6: for (i = 0; i < n; i++)
19558 # test.c:7: total += i * i;
19559 addl %ecx, %eax # tmp92, <retval>
19567 .ident "GCC: (GNU) 7.0.0 20160809 (experimental)"
19568 .section .note.GNU-stack,"",@@progbits
19571 The comments are intended for humans rather than machines and hence the
19572 precise format of the comments is subject to change.
19574 @opindex frecord-gcc-switches
19575 @item -frecord-gcc-switches
19576 This switch causes the command line used to invoke the
19577 compiler to be recorded into the object file that is being created.
19578 This switch is only implemented on some targets and the exact format
19579 of the recording is target and binary file format dependent, but it
19580 usually takes the form of a section containing ASCII text. This
19581 switch is related to the @option{-fverbose-asm} switch, but that
19582 switch only records information in the assembler output file as
19583 comments, so it never reaches the object file.
19584 See also @option{-grecord-gcc-switches} for another
19585 way of storing compiler options into the object file.
19588 @cindex global offset table
19591 Generate position-independent code (PIC) suitable for use in a shared
19592 library, if supported for the target machine. Such code accesses all
19593 constant addresses through a global offset table (GOT)@. The dynamic
19594 loader resolves the GOT entries when the program starts (the dynamic
19595 loader is not part of GCC; it is part of the operating system). If
19596 the GOT size for the linked executable exceeds a machine-specific
19597 maximum size, you get an error message from the linker indicating that
19598 @option{-fpic} does not work; in that case, recompile with @option{-fPIC}
19599 instead. (These maximums are 8k on the SPARC, 28k on AArch64 and 32k
19600 on the m68k and RS/6000. The x86 has no such limit.)
19602 Position-independent code requires special support, and therefore works
19603 only on certain machines. For the x86, GCC supports PIC for System V
19604 but not for the Sun 386i. Code generated for the IBM RS/6000 is always
19605 position-independent.
19607 When this flag is set, the macros @code{__pic__} and @code{__PIC__}
19612 If supported for the target machine, emit position-independent code,
19613 suitable for dynamic linking and avoiding any limit on the size of the
19614 global offset table. This option makes a difference on AArch64, m68k,
19615 PowerPC and SPARC@.
19617 Position-independent code requires special support, and therefore works
19618 only on certain machines.
19620 When this flag is set, the macros @code{__pic__} and @code{__PIC__}
19627 These options are similar to @option{-fpic} and @option{-fPIC}, but the
19628 generated position-independent code can be only linked into executables.
19629 Usually these options are used to compile code that will be linked using
19630 the @option{-pie} GCC option.
19632 @option{-fpie} and @option{-fPIE} both define the macros
19633 @code{__pie__} and @code{__PIE__}. The macros have the value 1
19634 for @option{-fpie} and 2 for @option{-fPIE}.
19639 Do not use the PLT for external function calls in position-independent code.
19640 Instead, load the callee address at call sites from the GOT and branch to it.
19641 This leads to more efficient code by eliminating PLT stubs and exposing
19642 GOT loads to optimizations. On architectures such as 32-bit x86 where
19643 PLT stubs expect the GOT pointer in a specific register, this gives more
19644 register allocation freedom to the compiler.
19645 Lazy binding requires use of the PLT;
19646 with @option{-fno-plt} all external symbols are resolved at load time.
19648 Alternatively, the function attribute @code{noplt} can be used to avoid calls
19649 through the PLT for specific external functions.
19651 In position-dependent code, a few targets also convert calls to
19652 functions that are marked to not use the PLT to use the GOT instead.
19654 @opindex fno-jump-tables
19655 @opindex fjump-tables
19656 @item -fno-jump-tables
19657 Do not use jump tables for switch statements even where it would be
19658 more efficient than other code generation strategies. This option is
19659 of use in conjunction with @option{-fpic} or @option{-fPIC} for
19660 building code that forms part of a dynamic linker and cannot
19661 reference the address of a jump table. On some targets, jump tables
19662 do not require a GOT and this option is not needed.
19664 @opindex fno-bit-tests
19665 @opindex fbit-tests
19666 @item -fno-bit-tests
19667 Do not use bit tests for switch statements even where it would be
19668 more efficient than other code generation strategies.
19671 @item -ffixed-@var{reg}
19672 Treat the register named @var{reg} as a fixed register; generated code
19673 should never refer to it (except perhaps as a stack pointer, frame
19674 pointer or in some other fixed role).
19676 @var{reg} must be the name of a register. The register names accepted
19677 are machine-specific and are defined in the @code{REGISTER_NAMES}
19678 macro in the machine description macro file.
19680 This flag does not have a negative form, because it specifies a
19683 @opindex fcall-used
19684 @item -fcall-used-@var{reg}
19685 Treat the register named @var{reg} as an allocable register that is
19686 clobbered by function calls. It may be allocated for temporaries or
19687 variables that do not live across a call. Functions compiled this way
19688 do not save and restore the register @var{reg}.
19690 It is an error to use this flag with the frame pointer or stack pointer.
19691 Use of this flag for other registers that have fixed pervasive roles in
19692 the machine's execution model produces disastrous results.
19694 This flag does not have a negative form, because it specifies a
19697 @opindex fcall-saved
19698 @item -fcall-saved-@var{reg}
19699 Treat the register named @var{reg} as an allocable register saved by
19700 functions. It may be allocated even for temporaries or variables that
19701 live across a call. Functions compiled this way save and restore
19702 the register @var{reg} if they use it.
19704 It is an error to use this flag with the frame pointer or stack pointer.
19705 Use of this flag for other registers that have fixed pervasive roles in
19706 the machine's execution model produces disastrous results.
19708 A different sort of disaster results from the use of this flag for
19709 a register in which function values may be returned.
19711 This flag does not have a negative form, because it specifies a
19714 @opindex fpack-struct
19715 @item -fpack-struct[=@var{n}]
19716 Without a value specified, pack all structure members together without
19717 holes. When a value is specified (which must be a small power of two), pack
19718 structure members according to this value, representing the maximum
19719 alignment (that is, objects with default alignment requirements larger than
19720 this are output potentially unaligned at the next fitting location.
19722 @strong{Warning:} the @option{-fpack-struct} switch causes GCC to generate
19723 code that is not binary compatible with code generated without that switch.
19724 Additionally, it makes the code suboptimal.
19725 Use it to conform to a non-default application binary interface.
19727 @opindex fleading-underscore
19728 @item -fleading-underscore
19729 This option and its counterpart, @option{-fno-leading-underscore}, forcibly
19730 change the way C symbols are represented in the object file. One use
19731 is to help link with legacy assembly code.
19733 @strong{Warning:} the @option{-fleading-underscore} switch causes GCC to
19734 generate code that is not binary compatible with code generated without that
19735 switch. Use it to conform to a non-default application binary interface.
19736 Not all targets provide complete support for this switch.
19738 @opindex ftls-model
19739 @item -ftls-model=@var{model}
19740 Alter the thread-local storage model to be used (@pxref{Thread-Local}).
19741 The @var{model} argument should be one of @samp{global-dynamic},
19742 @samp{local-dynamic}, @samp{initial-exec} or @samp{local-exec}.
19743 Note that the choice is subject to optimization: the compiler may use
19744 a more efficient model for symbols not visible outside of the translation
19745 unit, or if @option{-fpic} is not given on the command line.
19747 The default without @option{-fpic} is @samp{initial-exec}; with
19748 @option{-fpic} the default is @samp{global-dynamic}.
19750 @opindex ftrampolines
19751 @item -ftrampolines
19752 For targets that normally need trampolines for nested functions, always
19753 generate them instead of using descriptors. Otherwise, for targets that
19754 do not need them, like for example HP-PA or IA-64, do nothing.
19756 A trampoline is a small piece of code that is created at run time on the
19757 stack when the address of a nested function is taken, and is used to call
19758 the nested function indirectly. Therefore, it requires the stack to be
19759 made executable in order for the program to work properly.
19761 @option{-fno-trampolines} is enabled by default on a language by language
19762 basis to let the compiler avoid generating them, if it computes that this
19763 is safe, and replace them with descriptors. Descriptors are made up of data
19764 only, but the generated code must be prepared to deal with them. As of this
19765 writing, @option{-fno-trampolines} is enabled by default only for Ada.
19767 Moreover, code compiled with @option{-ftrampolines} and code compiled with
19768 @option{-fno-trampolines} are not binary compatible if nested functions are
19769 present. This option must therefore be used on a program-wide basis and be
19770 manipulated with extreme care.
19772 For languages other than Ada, the @code{-ftrampolines} and
19773 @code{-fno-trampolines} options currently have no effect, and
19774 trampolines are always generated on platforms that need them
19775 for nested functions.
19777 @opindex ftrampoline-impl
19778 @item -ftrampoline-impl=@r{[}stack@r{|}heap@r{]}
19779 By default, trampolines are generated on stack. However, certain platforms
19780 (such as the Apple M1) do not permit an executable stack. Compiling with
19781 @option{-ftrampoline-impl=heap} generate calls to
19782 @code{__gcc_nested_func_ptr_created} and
19783 @code{__gcc_nested_func_ptr_deleted} in order to allocate and
19784 deallocate trampoline space on the executable heap. These functions are
19785 implemented in libgcc, and will only be provided on specific targets:
19786 x86_64 Darwin, x86_64 and aarch64 Linux. @emph{PLEASE NOTE}: Heap
19787 trampolines are @emph{not} guaranteed to be correctly deallocated if you
19788 @code{setjmp}, instantiate nested functions, and then @code{longjmp} back
19789 to a state prior to having allocated those nested functions.
19791 @opindex fvisibility
19792 @item -fvisibility=@r{[}default@r{|}internal@r{|}hidden@r{|}protected@r{]}
19793 Set the default ELF image symbol visibility to the specified option---all
19794 symbols are marked with this unless overridden within the code.
19795 Using this feature can very substantially improve linking and
19796 load times of shared object libraries, produce more optimized
19797 code, provide near-perfect API export and prevent symbol clashes.
19798 It is @strong{strongly} recommended that you use this in any shared objects
19801 Despite the nomenclature, @samp{default} always means public; i.e.,
19802 available to be linked against from outside the shared object.
19803 @samp{protected} and @samp{internal} are pretty useless in real-world
19804 usage so the only other commonly used option is @samp{hidden}.
19805 The default if @option{-fvisibility} isn't specified is
19806 @samp{default}, i.e., make every symbol public.
19808 A good explanation of the benefits offered by ensuring ELF
19809 symbols have the correct visibility is given by ``How To Write
19810 Shared Libraries'' by Ulrich Drepper (which can be found at
19811 @w{@uref{https://www.akkadia.org/drepper/}})---however a superior
19812 solution made possible by this option to marking things hidden when
19813 the default is public is to make the default hidden and mark things
19814 public. This is the norm with DLLs on Windows and with @option{-fvisibility=hidden}
19815 and @code{__attribute__ ((visibility("default")))} instead of
19816 @code{__declspec(dllexport)} you get almost identical semantics with
19817 identical syntax. This is a great boon to those working with
19818 cross-platform projects.
19820 For those adding visibility support to existing code, you may find
19821 @code{#pragma GCC visibility} of use. This works by you enclosing
19822 the declarations you wish to set visibility for with (for example)
19823 @code{#pragma GCC visibility push(hidden)} and
19824 @code{#pragma GCC visibility pop}.
19825 Bear in mind that symbol visibility should be viewed @strong{as
19826 part of the API interface contract} and thus all new code should
19827 always specify visibility when it is not the default; i.e., declarations
19828 only for use within the local DSO should @strong{always} be marked explicitly
19829 as hidden as so to avoid PLT indirection overheads---making this
19830 abundantly clear also aids readability and self-documentation of the code.
19831 Note that due to ISO C++ specification requirements, @code{operator new} and
19832 @code{operator delete} must always be of default visibility.
19834 Be aware that headers from outside your project, in particular system
19835 headers and headers from any other library you use, may not be
19836 expecting to be compiled with visibility other than the default. You
19837 may need to explicitly say @code{#pragma GCC visibility push(default)}
19838 before including any such headers.
19840 @code{extern} declarations are not affected by @option{-fvisibility}, so
19841 a lot of code can be recompiled with @option{-fvisibility=hidden} with
19842 no modifications. However, this means that calls to @code{extern}
19843 functions with no explicit visibility use the PLT, so it is more
19844 effective to use @code{__attribute ((visibility))} and/or
19845 @code{#pragma GCC visibility} to tell the compiler which @code{extern}
19846 declarations should be treated as hidden.
19848 Note that @option{-fvisibility} does affect C++ vague linkage
19849 entities. This means that, for instance, an exception class that is
19850 be thrown between DSOs must be explicitly marked with default
19851 visibility so that the @samp{type_info} nodes are unified between
19854 An overview of these techniques, their benefits and how to use them
19855 is at @uref{https://gcc.gnu.org/@/wiki/@/Visibility}.
19857 @opindex fstrict-volatile-bitfields
19858 @item -fstrict-volatile-bitfields
19859 This option should be used if accesses to volatile bit-fields (or other
19860 structure fields, although the compiler usually honors those types
19861 anyway) should use a single access of the width of the
19862 field's type, aligned to a natural alignment if possible. For
19863 example, targets with memory-mapped peripheral registers might require
19864 all such accesses to be 16 bits wide; with this flag you can
19865 declare all peripheral bit-fields as @code{unsigned short} (assuming short
19866 is 16 bits on these targets) to force GCC to use 16-bit accesses
19867 instead of, perhaps, a more efficient 32-bit access.
19869 If this option is disabled, the compiler uses the most efficient
19870 instruction. In the previous example, that might be a 32-bit load
19871 instruction, even though that accesses bytes that do not contain
19872 any portion of the bit-field, or memory-mapped registers unrelated to
19873 the one being updated.
19875 In some cases, such as when the @code{packed} attribute is applied to a
19876 structure field, it may not be possible to access the field with a single
19877 read or write that is correctly aligned for the target machine. In this
19878 case GCC falls back to generating multiple accesses rather than code that
19879 will fault or truncate the result at run time.
19881 Note: Due to restrictions of the C/C++11 memory model, write accesses are
19882 not allowed to touch non bit-field members. It is therefore recommended
19883 to define all bits of the field's type as bit-field members.
19885 The default value of this option is determined by the application binary
19886 interface for the target processor.
19888 @opindex fsync-libcalls
19889 @item -fsync-libcalls
19890 This option controls whether any out-of-line instance of the @code{__sync}
19891 family of functions may be used to implement the C++11 @code{__atomic}
19892 family of functions.
19894 The default value of this option is enabled, thus the only useful form
19895 of the option is @option{-fno-sync-libcalls}. This option is used in
19896 the implementation of the @file{libatomic} runtime library.
19900 @node Developer Options
19901 @section GCC Developer Options
19902 @cindex developer options
19903 @cindex debugging GCC
19904 @cindex debug dump options
19905 @cindex dump options
19906 @cindex compilation statistics
19908 This section describes command-line options that are primarily of
19909 interest to GCC developers, including options to support compiler
19910 testing and investigation of compiler bugs and compile-time
19911 performance problems. This includes options that produce debug dumps
19912 at various points in the compilation; that print statistics such as
19913 memory use and execution time; and that print information about GCC's
19914 configuration, such as where it searches for libraries. You should
19915 rarely need to use any of these options for ordinary compilation and
19918 Many developer options that cause GCC to dump output to a file take an
19919 optional @samp{=@var{filename}} suffix. You can specify @samp{stdout}
19920 or @samp{-} to dump to standard output, and @samp{stderr} for standard
19923 If @samp{=@var{filename}} is omitted, a default dump file name is
19924 constructed by concatenating the base dump file name, a pass number,
19925 phase letter, and pass name. The base dump file name is the name of
19926 output file produced by the compiler if explicitly specified and not
19927 an executable; otherwise it is the source file name.
19928 The pass number is determined by the order passes are registered with
19929 the compiler's pass manager.
19930 This is generally the same as the order of execution, but passes
19931 registered by plugins, target-specific passes, or passes that are
19932 otherwise registered late are numbered higher than the pass named
19933 @samp{final}, even if they are executed earlier. The phase letter is
19934 one of @samp{i} (inter-procedural analysis), @samp{l}
19935 (language-specific), @samp{r} (RTL), or @samp{t} (tree).
19936 The files are created in the directory of the output file.
19940 @opindex fcallgraph-info
19941 @item -fcallgraph-info
19942 @itemx -fcallgraph-info=@var{MARKERS}
19943 Makes the compiler output callgraph information for the program, on a
19944 per-object-file basis. The information is generated in the common VCG
19945 format. It can be decorated with additional, per-node and/or per-edge
19946 information, if a list of comma-separated markers is additionally
19947 specified. When the @code{su} marker is specified, the callgraph is
19948 decorated with stack usage information; it is equivalent to
19949 @option{-fstack-usage}. When the @code{da} marker is specified, the
19950 callgraph is decorated with information about dynamically allocated
19953 When compiling with @option{-flto}, no callgraph information is output
19954 along with the object file. At LTO link time, @option{-fcallgraph-info}
19955 may generate multiple callgraph information files next to intermediate
19959 @opindex fdump-rtl-@var{pass}
19960 @item -d@var{letters}
19961 @itemx -fdump-rtl-@var{pass}
19962 @itemx -fdump-rtl-@var{pass}=@var{filename}
19963 Says to make debugging dumps during compilation at times specified by
19964 @var{letters}. This is used for debugging the RTL-based passes of the
19967 Some @option{-d@var{letters}} switches have different meaning when
19968 @option{-E} is used for preprocessing. @xref{Preprocessor Options},
19969 for information about preprocessor-specific dump options.
19971 Debug dumps can be enabled with a @option{-fdump-rtl} switch or some
19972 @option{-d} option @var{letters}. Here are the possible
19973 letters for use in @var{pass} and @var{letters}, and their meanings:
19977 @opindex fdump-rtl-alignments
19978 @item -fdump-rtl-alignments
19979 Dump after branch alignments have been computed.
19981 @opindex fdump-rtl-asmcons
19982 @item -fdump-rtl-asmcons
19983 Dump after fixing rtl statements that have unsatisfied in/out constraints.
19985 @opindex fdump-rtl-auto_inc_dec
19986 @item -fdump-rtl-auto_inc_dec
19987 Dump after auto-inc-dec discovery. This pass is only run on
19988 architectures that have auto inc or auto dec instructions.
19990 @opindex fdump-rtl-barriers
19991 @item -fdump-rtl-barriers
19992 Dump after cleaning up the barrier instructions.
19994 @opindex fdump-rtl-bbpart
19995 @item -fdump-rtl-bbpart
19996 Dump after partitioning hot and cold basic blocks.
19998 @opindex fdump-rtl-bbro
19999 @item -fdump-rtl-bbro
20000 Dump after block reordering.
20002 @opindex fdump-rtl-btl2
20003 @opindex fdump-rtl-btl2
20004 @item -fdump-rtl-btl1
20005 @itemx -fdump-rtl-btl2
20006 @option{-fdump-rtl-btl1} and @option{-fdump-rtl-btl2} enable dumping
20007 after the two branch
20008 target load optimization passes.
20010 @opindex fdump-rtl-bypass
20011 @item -fdump-rtl-bypass
20012 Dump after jump bypassing and control flow optimizations.
20014 @opindex fdump-rtl-combine
20015 @item -fdump-rtl-combine
20016 Dump after the RTL instruction combination pass.
20018 @opindex fdump-rtl-compgotos
20019 @item -fdump-rtl-compgotos
20020 Dump after duplicating the computed gotos.
20022 @opindex fdump-rtl-ce1
20023 @opindex fdump-rtl-ce2
20024 @opindex fdump-rtl-ce3
20025 @item -fdump-rtl-ce1
20026 @itemx -fdump-rtl-ce2
20027 @itemx -fdump-rtl-ce3
20028 @option{-fdump-rtl-ce1}, @option{-fdump-rtl-ce2}, and
20029 @option{-fdump-rtl-ce3} enable dumping after the three
20030 if conversion passes.
20032 @opindex fdump-rtl-cprop_hardreg
20033 @item -fdump-rtl-cprop_hardreg
20034 Dump after hard register copy propagation.
20036 @opindex fdump-rtl-csa
20037 @item -fdump-rtl-csa
20038 Dump after combining stack adjustments.
20040 @opindex fdump-rtl-cse1
20041 @opindex fdump-rtl-cse2
20042 @item -fdump-rtl-cse1
20043 @itemx -fdump-rtl-cse2
20044 @option{-fdump-rtl-cse1} and @option{-fdump-rtl-cse2} enable dumping after
20045 the two common subexpression elimination passes.
20047 @opindex fdump-rtl-dce
20048 @item -fdump-rtl-dce
20049 Dump after the standalone dead code elimination passes.
20051 @opindex fdump-rtl-dbr
20052 @item -fdump-rtl-dbr
20053 Dump after delayed branch scheduling.
20055 @opindex fdump-rtl-dce1
20056 @opindex fdump-rtl-dce2
20057 @item -fdump-rtl-dce1
20058 @itemx -fdump-rtl-dce2
20059 @option{-fdump-rtl-dce1} and @option{-fdump-rtl-dce2} enable dumping after
20060 the two dead store elimination passes.
20062 @opindex fdump-rtl-eh
20063 @item -fdump-rtl-eh
20064 Dump after finalization of EH handling code.
20066 @opindex fdump-rtl-eh_ranges
20067 @item -fdump-rtl-eh_ranges
20068 Dump after conversion of EH handling range regions.
20070 @opindex fdump-rtl-expand
20071 @item -fdump-rtl-expand
20072 Dump after RTL generation.
20074 @opindex fdump-rtl-fwprop1
20075 @opindex fdump-rtl-fwprop2
20076 @item -fdump-rtl-fwprop1
20077 @itemx -fdump-rtl-fwprop2
20078 @option{-fdump-rtl-fwprop1} and @option{-fdump-rtl-fwprop2} enable
20079 dumping after the two forward propagation passes.
20081 @opindex fdump-rtl-gcse1
20082 @opindex fdump-rtl-gcse2
20083 @item -fdump-rtl-gcse1
20084 @itemx -fdump-rtl-gcse2
20085 @option{-fdump-rtl-gcse1} and @option{-fdump-rtl-gcse2} enable dumping
20086 after global common subexpression elimination.
20088 @opindex fdump-rtl-init-regs
20089 @item -fdump-rtl-init-regs
20090 Dump after the initialization of the registers.
20092 @opindex fdump-rtl-initvals
20093 @item -fdump-rtl-initvals
20094 Dump after the computation of the initial value sets.
20096 @opindex fdump-rtl-into_cfglayout
20097 @item -fdump-rtl-into_cfglayout
20098 Dump after converting to cfglayout mode.
20100 @opindex fdump-rtl-ira
20101 @item -fdump-rtl-ira
20102 Dump after iterated register allocation.
20104 @opindex fdump-rtl-jump
20105 @item -fdump-rtl-jump
20106 Dump after the second jump optimization.
20108 @opindex fdump-rtl-loop2
20109 @item -fdump-rtl-loop2
20110 @option{-fdump-rtl-loop2} enables dumping after the rtl
20111 loop optimization passes.
20113 @opindex fdump-rtl-mach
20114 @item -fdump-rtl-mach
20115 Dump after performing the machine dependent reorganization pass, if that
20118 @opindex fdump-rtl-mode_sw
20119 @item -fdump-rtl-mode_sw
20120 Dump after removing redundant mode switches.
20122 @opindex fdump-rtl-rnreg
20123 @item -fdump-rtl-rnreg
20124 Dump after register renumbering.
20126 @opindex fdump-rtl-outof_cfglayout
20127 @item -fdump-rtl-outof_cfglayout
20128 Dump after converting from cfglayout mode.
20130 @opindex fdump-rtl-peephole2
20131 @item -fdump-rtl-peephole2
20132 Dump after the peephole pass.
20134 @opindex fdump-rtl-postreload
20135 @item -fdump-rtl-postreload
20136 Dump after post-reload optimizations.
20138 @opindex fdump-rtl-pro_and_epilogue
20139 @item -fdump-rtl-pro_and_epilogue
20140 Dump after generating the function prologues and epilogues.
20142 @opindex fdump-rtl-sched1
20143 @opindex fdump-rtl-sched2
20144 @item -fdump-rtl-sched1
20145 @itemx -fdump-rtl-sched2
20146 @option{-fdump-rtl-sched1} and @option{-fdump-rtl-sched2} enable dumping
20147 after the basic block scheduling passes.
20149 @opindex fdump-rtl-ree
20150 @item -fdump-rtl-ree
20151 Dump after sign/zero extension elimination.
20153 @opindex fdump-rtl-seqabstr
20154 @item -fdump-rtl-seqabstr
20155 Dump after common sequence discovery.
20157 @opindex fdump-rtl-shorten
20158 @item -fdump-rtl-shorten
20159 Dump after shortening branches.
20161 @opindex fdump-rtl-sibling
20162 @item -fdump-rtl-sibling
20163 Dump after sibling call optimizations.
20165 @opindex fdump-rtl-split1
20166 @opindex fdump-rtl-split2
20167 @opindex fdump-rtl-split3
20168 @opindex fdump-rtl-split4
20169 @opindex fdump-rtl-split5
20170 @item -fdump-rtl-split1
20171 @itemx -fdump-rtl-split2
20172 @itemx -fdump-rtl-split3
20173 @itemx -fdump-rtl-split4
20174 @itemx -fdump-rtl-split5
20175 These options enable dumping after five rounds of
20176 instruction splitting.
20178 @opindex fdump-rtl-sms
20179 @item -fdump-rtl-sms
20180 Dump after modulo scheduling. This pass is only run on some
20183 @opindex fdump-rtl-stack
20184 @item -fdump-rtl-stack
20185 Dump after conversion from GCC's ``flat register file'' registers to the
20186 x87's stack-like registers. This pass is only run on x86 variants.
20188 @opindex fdump-rtl-subreg1
20189 @opindex fdump-rtl-subreg2
20190 @item -fdump-rtl-subreg1
20191 @itemx -fdump-rtl-subreg2
20192 @option{-fdump-rtl-subreg1} and @option{-fdump-rtl-subreg2} enable dumping after
20193 the two subreg expansion passes.
20195 @opindex fdump-rtl-unshare
20196 @item -fdump-rtl-unshare
20197 Dump after all rtl has been unshared.
20199 @opindex fdump-rtl-vartrack
20200 @item -fdump-rtl-vartrack
20201 Dump after variable tracking.
20203 @opindex fdump-rtl-vregs
20204 @item -fdump-rtl-vregs
20205 Dump after converting virtual registers to hard registers.
20207 @opindex fdump-rtl-web
20208 @item -fdump-rtl-web
20209 Dump after live range splitting.
20211 @opindex fdump-rtl-regclass
20212 @opindex fdump-rtl-subregs_of_mode_init
20213 @opindex fdump-rtl-subregs_of_mode_finish
20214 @opindex fdump-rtl-dfinit
20215 @opindex fdump-rtl-dfinish
20216 @item -fdump-rtl-regclass
20217 @itemx -fdump-rtl-subregs_of_mode_init
20218 @itemx -fdump-rtl-subregs_of_mode_finish
20219 @itemx -fdump-rtl-dfinit
20220 @itemx -fdump-rtl-dfinish
20221 These dumps are defined but always produce empty files.
20224 @opindex fdump-rtl-all
20226 @itemx -fdump-rtl-all
20227 Produce all the dumps listed above.
20231 Annotate the assembler output with miscellaneous debugging information.
20235 Dump all macro definitions, at the end of preprocessing, in addition to
20240 Produce a core dump whenever an error occurs.
20244 Annotate the assembler output with a comment indicating which
20245 pattern and alternative is used. The length and cost of each instruction are
20250 Dump the RTL in the assembler output as a comment before each instruction.
20251 Also turns on @option{-dp} annotation.
20255 Just generate RTL for a function instead of compiling it. Usually used
20256 with @option{-fdump-rtl-expand}.
20259 @opindex fdump-debug
20261 Dump debugging information generated during the debug
20264 @opindex fdump-earlydebug
20265 @item -fdump-earlydebug
20266 Dump debugging information generated during the early debug
20269 @opindex fdump-noaddr
20270 @item -fdump-noaddr
20271 When doing debugging dumps, suppress address output. This makes it more
20272 feasible to use diff on debugging dumps for compiler invocations with
20273 different compiler binaries and/or different
20274 text / bss / data / heap / stack / dso start locations.
20276 @opindex freport-bug
20278 Collect and dump debug information into a temporary file if an
20279 internal compiler error (ICE) occurs.
20281 @opindex fdump-unnumbered
20282 @item -fdump-unnumbered
20283 When doing debugging dumps, suppress instruction numbers and address output.
20284 This makes it more feasible to use diff on debugging dumps for compiler
20285 invocations with different options, in particular with and without
20288 @opindex fdump-unnumbered-links
20289 @item -fdump-unnumbered-links
20290 When doing debugging dumps (see @option{-d} option above), suppress
20291 instruction numbers for the links to the previous and next instructions
20295 @item -fdump-ipa-@var{switch}
20296 @itemx -fdump-ipa-@var{switch}-@var{options}
20297 Control the dumping at various stages of inter-procedural analysis
20298 language tree to a file. The file name is generated by appending a
20299 switch specific suffix to the source file name, and the file is created
20300 in the same directory as the output file. The following dumps are
20305 Enables all inter-procedural analysis dumps.
20308 Dumps information about call-graph optimization, unused function removal,
20309 and inlining decisions.
20312 Dump after function inlining.
20315 Dump after selecting @code{strub} modes, and recording the selections as
20316 function attributes.
20319 Dump @code{strub} transformations: interface changes, function wrapping,
20320 and insertion of builtin calls for stack scrubbing and watermarking.
20324 Additionally, the options @option{-optimized}, @option{-missed},
20325 @option{-note}, and @option{-all} can be provided, with the same meaning
20326 as for @option{-fopt-info}, defaulting to @option{-optimized}.
20328 For example, @option{-fdump-ipa-inline-optimized-missed} will emit
20329 information on callsites that were inlined, along with callsites
20330 that were not inlined.
20332 By default, the dump will contain messages about successful
20333 optimizations (equivalent to @option{-optimized}) together with
20334 low-level details about the analysis.
20336 @opindex fdump-lang
20338 Dump language-specific information. The file name is made by appending
20339 @file{.lang} to the source file name.
20341 @opindex fdump-lang-all
20342 @opindex fdump-lang
20343 @item -fdump-lang-all
20344 @itemx -fdump-lang-@var{switch}
20345 @itemx -fdump-lang-@var{switch}-@var{options}
20346 @itemx -fdump-lang-@var{switch}-@var{options}=@var{filename}
20347 Control the dumping of language-specific information. The @var{options}
20348 and @var{filename} portions behave as described in the
20349 @option{-fdump-tree} option. The following @var{switch} values are
20355 Enable all language-specific dumps.
20358 Dump class hierarchy information. Virtual table information is emitted
20359 unless '@option{slim}' is specified. This option is applicable to C++ only.
20362 Dump module information. Options @option{lineno} (locations),
20363 @option{graph} (reachability), @option{blocks} (clusters),
20364 @option{uid} (serialization), @option{alias} (mergeable),
20365 @option{asmname} (Elrond), @option{eh} (mapper) & @option{vops}
20366 (macros) may provide additional information. This option is
20367 applicable to C++ only.
20370 Dump the raw internal tree data. This option is applicable to C++ only.
20374 @opindex fdump-passes
20375 @item -fdump-passes
20376 Print on @file{stderr} the list of optimization passes that are turned
20377 on and off by the current command-line options.
20379 @opindex fdump-statistics
20380 @item -fdump-statistics-@var{option}
20381 Enable and control dumping of pass statistics in a separate file. The
20382 file name is generated by appending a suffix ending in
20383 @samp{.statistics} to the source file name, and the file is created in
20384 the same directory as the output file. If the @samp{-@var{option}}
20385 form is used, @samp{-stats} causes counters to be summed over the
20386 whole compilation unit while @samp{-details} dumps every event as
20387 the passes generate them. The default with no option is to sum
20388 counters for each function compiled.
20390 @opindex fdump-tree-all
20391 @opindex fdump-tree
20392 @item -fdump-tree-all
20393 @itemx -fdump-tree-@var{switch}
20394 @itemx -fdump-tree-@var{switch}-@var{options}
20395 @itemx -fdump-tree-@var{switch}-@var{options}=@var{filename}
20396 Control the dumping at various stages of processing the intermediate
20397 language tree to a file. If the @samp{-@var{options}}
20398 form is used, @var{options} is a list of @samp{-} separated options
20399 which control the details of the dump. Not all options are applicable
20400 to all dumps; those that are not meaningful are ignored. The
20401 following options are available
20405 Print the address of each node. Usually this is not meaningful as it
20406 changes according to the environment and source file. Its primary use
20407 is for tying up a dump file with a debug environment.
20409 If @code{DECL_ASSEMBLER_NAME} has been set for a given decl, use that
20410 in the dump instead of @code{DECL_NAME}. Its primary use is ease of
20411 use working backward from mangled names in the assembly file.
20413 When dumping front-end intermediate representations, inhibit dumping
20414 of members of a scope or body of a function merely because that scope
20415 has been reached. Only dump such items when they are directly reachable
20416 by some other path.
20418 When dumping pretty-printed trees, this option inhibits dumping the
20419 bodies of control structures.
20421 When dumping RTL, print the RTL in slim (condensed) form instead of
20422 the default LISP-like representation.
20424 Print a raw representation of the tree. By default, trees are
20425 pretty-printed into a C-like representation.
20427 Enable more detailed dumps (not honored by every dump option). Also
20428 include information from the optimization passes.
20430 Enable dumping various statistics about the pass (not honored by every dump
20433 Enable showing basic block boundaries (disabled in raw dumps).
20435 For each of the other indicated dump files (@option{-fdump-rtl-@var{pass}}),
20436 dump a representation of the control flow graph suitable for viewing with
20437 GraphViz to @file{@var{file}.@var{passid}.@var{pass}.dot}. Each function in
20438 the file is pretty-printed as a subgraph, so that GraphViz can render them
20439 all in a single plot.
20441 This option currently only works for RTL dumps, and the RTL is always
20442 dumped in slim form.
20444 Enable showing virtual operands for every statement.
20446 Enable showing line numbers for statements.
20448 Enable showing the unique ID (@code{DECL_UID}) for each variable.
20450 Enable showing the tree dump for each statement.
20452 Enable showing the EH region number holding each statement.
20454 Enable showing scalar evolution analysis details.
20456 Enable showing optimization information (only available in certain
20459 Enable showing missed optimization information (only available in certain
20462 Enable other detailed optimization information (only available in
20465 Turn on all options, except @option{raw}, @option{slim}, @option{verbose}
20466 and @option{lineno}.
20468 Turn on all optimization options, i.e., @option{optimized},
20469 @option{missed}, and @option{note}.
20472 To determine what tree dumps are available or find the dump for a pass
20473 of interest follow the steps below.
20477 Invoke GCC with @option{-fdump-passes} and in the @file{stderr} output
20478 look for a code that corresponds to the pass you are interested in.
20479 For example, the codes @code{tree-evrp}, @code{tree-vrp1}, and
20480 @code{tree-vrp2} correspond to the three Value Range Propagation passes.
20481 The number at the end distinguishes distinct invocations of the same pass.
20483 To enable the creation of the dump file, append the pass code to
20484 the @option{-fdump-} option prefix and invoke GCC with it. For example,
20485 to enable the dump from the Early Value Range Propagation pass, invoke
20486 GCC with the @option{-fdump-tree-evrp} option. Optionally, you may
20487 specify the name of the dump file. If you don't specify one, GCC
20488 creates as described below.
20490 Find the pass dump in a file whose name is composed of three components
20491 separated by a period: the name of the source file GCC was invoked to
20492 compile, a numeric suffix indicating the pass number followed by the
20493 letter @samp{t} for tree passes (and the letter @samp{r} for RTL passes),
20494 and finally the pass code. For example, the Early VRP pass dump might
20495 be in a file named @file{myfile.c.038t.evrp} in the current working
20496 directory. Note that the numeric codes are not stable and may change
20497 from one version of GCC to another.
20502 @itemx -fopt-info-@var{options}
20503 @itemx -fopt-info-@var{options}=@var{filename}
20504 Controls optimization dumps from various optimization passes. If the
20505 @samp{-@var{options}} form is used, @var{options} is a list of
20506 @samp{-} separated option keywords to select the dump details and
20509 The @var{options} can be divided into three groups:
20512 options describing what kinds of messages should be emitted,
20514 options describing the verbosity of the dump, and
20516 options describing which optimizations should be included.
20518 The options from each group can be freely mixed as they are
20519 non-overlapping. However, in case of any conflicts,
20520 the later options override the earlier options on the command
20523 The following options control which kinds of messages should be emitted:
20527 Print information when an optimization is successfully applied. It is
20528 up to a pass to decide which information is relevant. For example, the
20529 vectorizer passes print the source location of loops which are
20530 successfully vectorized.
20532 Print information about missed optimizations. Individual passes
20533 control which information to include in the output.
20535 Print verbose information about optimizations, such as certain
20536 transformations, more detailed messages about decisions etc.
20538 Print detailed optimization information. This includes
20539 @samp{optimized}, @samp{missed}, and @samp{note}.
20542 The following option controls the dump verbosity:
20546 By default, only ``high-level'' messages are emitted. This option enables
20547 additional, more detailed, messages, which are likely to only be of interest
20551 One or more of the following option keywords can be used to describe a
20552 group of optimizations:
20556 Enable dumps from all interprocedural optimizations.
20558 Enable dumps from all loop optimizations.
20560 Enable dumps from all inlining optimizations.
20562 Enable dumps from all OMP (Offloading and Multi Processing) optimizations.
20564 Enable dumps from all vectorization optimizations.
20566 Enable dumps from all optimizations. This is a superset of
20567 the optimization groups listed above.
20570 If @var{options} is
20571 omitted, it defaults to @samp{optimized-optall}, which means to dump messages
20572 about successful optimizations from all the passes, omitting messages
20573 that are treated as ``internals''.
20575 If the @var{filename} is provided, then the dumps from all the
20576 applicable optimizations are concatenated into the @var{filename}.
20577 Otherwise the dump is output onto @file{stderr}. Though multiple
20578 @option{-fopt-info} options are accepted, only one of them can include
20579 a @var{filename}. If other filenames are provided then all but the
20580 first such option are ignored.
20582 Note that the output @var{filename} is overwritten
20583 in case of multiple translation units. If a combined output from
20584 multiple translation units is desired, @file{stderr} should be used
20587 In the following example, the optimization info is output to
20596 gcc -O3 -fopt-info-missed=missed.all
20600 outputs missed optimization report from all the passes into
20601 @file{missed.all}, and this one:
20604 gcc -O2 -ftree-vectorize -fopt-info-vec-missed
20608 prints information about missed optimization opportunities from
20609 vectorization passes on @file{stderr}.
20610 Note that @option{-fopt-info-vec-missed} is equivalent to
20611 @option{-fopt-info-missed-vec}. The order of the optimization group
20612 names and message types listed after @option{-fopt-info} does not matter.
20614 As another example,
20616 gcc -O3 -fopt-info-inline-optimized-missed=inline.txt
20620 outputs information about missed optimizations as well as
20621 optimized locations from all the inlining passes into
20627 gcc -fopt-info-vec-missed=vec.miss -fopt-info-loop-optimized=loop.opt
20631 Here the two output filenames @file{vec.miss} and @file{loop.opt} are
20632 in conflict since only one output file is allowed. In this case, only
20633 the first option takes effect and the subsequent options are
20634 ignored. Thus only @file{vec.miss} is produced which contains
20635 dumps from the vectorizer about missed opportunities.
20637 @opindex fsave-optimization-record
20638 @item -fsave-optimization-record
20639 Write a SRCFILE.opt-record.json.gz file detailing what optimizations
20640 were performed, for those optimizations that support @option{-fopt-info}.
20642 This option is experimental and the format of the data within the
20643 compressed JSON file is subject to change.
20645 It is roughly equivalent to a machine-readable version of
20646 @option{-fopt-info-all}, as a collection of messages with source file,
20647 line number and column number, with the following additional data for
20653 the execution count of the code being optimized, along with metadata about
20654 whether this was from actual profile data, or just an estimate, allowing
20655 consumers to prioritize messages by code hotness,
20658 the function name of the code being optimized, where applicable,
20661 the ``inlining chain'' for the code being optimized, so that when
20662 a function is inlined into several different places (which might
20663 themselves be inlined), the reader can distinguish between the copies,
20666 objects identifying those parts of the message that refer to expressions,
20667 statements or symbol-table nodes, which of these categories they are, and,
20668 when available, their source code location,
20671 the GCC pass that emitted the message, and
20674 the location in GCC's own code from which the message was emitted
20678 Additionally, some messages are logically nested within other
20679 messages, reflecting implementation details of the optimization
20682 @opindex fsched-verbose
20683 @item -fsched-verbose=@var{n}
20684 On targets that use instruction scheduling, this option controls the
20685 amount of debugging output the scheduler prints to the dump files.
20687 For @var{n} greater than zero, @option{-fsched-verbose} outputs the
20688 same information as @option{-fdump-rtl-sched1} and @option{-fdump-rtl-sched2}.
20689 For @var{n} greater than one, it also output basic block probabilities,
20690 detailed ready list information and unit/insn info. For @var{n} greater
20691 than two, it includes RTL at abort point, control-flow and regions info.
20692 And for @var{n} over four, @option{-fsched-verbose} also includes
20699 @item -fenable-@var{kind}-@var{pass}
20700 @itemx -fdisable-@var{kind}-@var{pass}=@var{range-list}
20702 This is a set of options that are used to explicitly disable/enable
20703 optimization passes. These options are intended for use for debugging GCC.
20704 Compiler users should use regular options for enabling/disabling
20709 @item -fdisable-ipa-@var{pass}
20710 Disable IPA pass @var{pass}. @var{pass} is the pass name. If the same pass is
20711 statically invoked in the compiler multiple times, the pass name should be
20712 appended with a sequential number starting from 1.
20714 @item -fdisable-rtl-@var{pass}
20715 @itemx -fdisable-rtl-@var{pass}=@var{range-list}
20716 Disable RTL pass @var{pass}. @var{pass} is the pass name. If the same pass is
20717 statically invoked in the compiler multiple times, the pass name should be
20718 appended with a sequential number starting from 1. @var{range-list} is a
20719 comma-separated list of function ranges or assembler names. Each range is a number
20720 pair separated by a colon. The range is inclusive in both ends. If the range
20721 is trivial, the number pair can be simplified as a single number. If the
20722 function's call graph node's @var{uid} falls within one of the specified ranges,
20723 the @var{pass} is disabled for that function. The @var{uid} is shown in the
20724 function header of a dump file, and the pass names can be dumped by using
20725 option @option{-fdump-passes}.
20727 @item -fdisable-tree-@var{pass}
20728 @itemx -fdisable-tree-@var{pass}=@var{range-list}
20729 Disable tree pass @var{pass}. See @option{-fdisable-rtl} for the description of
20732 @item -fenable-ipa-@var{pass}
20733 Enable IPA pass @var{pass}. @var{pass} is the pass name. If the same pass is
20734 statically invoked in the compiler multiple times, the pass name should be
20735 appended with a sequential number starting from 1.
20737 @item -fenable-rtl-@var{pass}
20738 @itemx -fenable-rtl-@var{pass}=@var{range-list}
20739 Enable RTL pass @var{pass}. See @option{-fdisable-rtl} for option argument
20740 description and examples.
20742 @item -fenable-tree-@var{pass}
20743 @itemx -fenable-tree-@var{pass}=@var{range-list}
20744 Enable tree pass @var{pass}. See @option{-fdisable-rtl} for the description
20745 of option arguments.
20749 Here are some examples showing uses of these options.
20753 # disable ccp1 for all functions
20754 -fdisable-tree-ccp1
20755 # disable complete unroll for function whose cgraph node uid is 1
20756 -fenable-tree-cunroll=1
20757 # disable gcse2 for functions at the following ranges [1,1],
20758 # [300,400], and [400,1000]
20759 # disable gcse2 for functions foo and foo2
20760 -fdisable-rtl-gcse2=foo,foo2
20761 # disable early inlining
20762 -fdisable-tree-einline
20763 # disable ipa inlining
20764 -fdisable-ipa-inline
20765 # enable tree full unroll
20766 -fenable-tree-unroll
20771 @opindex fno-checking
20773 @itemx -fchecking=@var{n}
20774 Enable internal consistency checking. The default depends on
20775 the compiler configuration. @option{-fchecking=2} enables further
20776 internal consistency checking that might affect code generation.
20778 @opindex frandom-seed
20779 @item -frandom-seed=@var{string}
20780 This option provides a seed that GCC uses in place of
20781 random numbers in generating certain symbol names
20782 that have to be different in every compiled file. It is also used to
20783 place unique stamps in coverage data files and the object files that
20784 produce them. You can use the @option{-frandom-seed} option to produce
20785 reproducibly identical object files.
20787 The @var{string} can either be a number (decimal, octal or hex) or an
20788 arbitrary string (in which case it's converted to a number by
20791 The @var{string} should be different for every file you compile.
20793 @opindex save-temps
20795 Store the usual ``temporary'' intermediate files permanently; name them
20796 as auxiliary output files, as specified described under
20797 @option{-dumpbase} and @option{-dumpdir}.
20799 When used in combination with the @option{-x} command-line option,
20800 @option{-save-temps} is sensible enough to avoid overwriting an
20801 input source file with the same extension as an intermediate file.
20802 The corresponding intermediate file may be obtained by renaming the
20803 source file before using @option{-save-temps}.
20805 @opindex save-temps=cwd
20806 @item -save-temps=cwd
20807 Equivalent to @option{-save-temps -dumpdir ./}.
20809 @opindex save-temps=obj
20810 @item -save-temps=obj
20811 Equivalent to @option{-save-temps -dumpdir @file{outdir/}}, where
20812 @file{outdir/} is the directory of the output file specified after the
20813 @option{-o} option, including any directory separators. If the
20814 @option{-o} option is not used, the @option{-save-temps=obj} switch
20815 behaves like @option{-save-temps=cwd}.
20818 @item -time@r{[}=@var{file}@r{]}
20819 Report the CPU time taken by each subprocess in the compilation
20820 sequence. For C source files, this is the compiler proper and assembler
20821 (plus the linker if linking is done).
20823 Without the specification of an output file, the output looks like this:
20830 The first number on each line is the ``user time'', that is time spent
20831 executing the program itself. The second number is ``system time'',
20832 time spent executing operating system routines on behalf of the program.
20833 Both numbers are in seconds.
20835 With the specification of an output file, the output is appended to the
20836 named file, and it looks like this:
20839 0.12 0.01 cc1 @var{options}
20840 0.00 0.01 as @var{options}
20843 The ``user time'' and the ``system time'' are moved before the program
20844 name, and the options passed to the program are displayed, so that one
20845 can later tell what file was being compiled, and with which options.
20847 @opindex fdump-final-insns
20848 @item -fdump-final-insns@r{[}=@var{file}@r{]}
20849 Dump the final internal representation (RTL) to @var{file}. If the
20850 optional argument is omitted (or if @var{file} is @code{.}), the name
20851 of the dump file is determined by appending @code{.gkd} to the
20852 dump base name, see @option{-dumpbase}.
20854 @opindex fcompare-debug
20855 @opindex fno-compare-debug
20856 @item -fcompare-debug@r{[}=@var{opts}@r{]}
20857 If no error occurs during compilation, run the compiler a second time,
20858 adding @var{opts} and @option{-fcompare-debug-second} to the arguments
20859 passed to the second compilation. Dump the final internal
20860 representation in both compilations, and print an error if they differ.
20862 If the equal sign is omitted, the default @option{-gtoggle} is used.
20864 The environment variable @env{GCC_COMPARE_DEBUG}, if defined, non-empty
20865 and nonzero, implicitly enables @option{-fcompare-debug}. If
20866 @env{GCC_COMPARE_DEBUG} is defined to a string starting with a dash,
20867 then it is used for @var{opts}, otherwise the default @option{-gtoggle}
20870 @option{-fcompare-debug=}, with the equal sign but without @var{opts},
20871 is equivalent to @option{-fno-compare-debug}, which disables the dumping
20872 of the final representation and the second compilation, preventing even
20873 @env{GCC_COMPARE_DEBUG} from taking effect.
20875 To verify full coverage during @option{-fcompare-debug} testing, set
20876 @env{GCC_COMPARE_DEBUG} to say @option{-fcompare-debug-not-overridden},
20877 which GCC rejects as an invalid option in any actual compilation
20878 (rather than preprocessing, assembly or linking). To get just a
20879 warning, setting @env{GCC_COMPARE_DEBUG} to @samp{-w%n-fcompare-debug
20880 not overridden} will do.
20882 @opindex fcompare-debug-second
20883 @item -fcompare-debug-second
20884 This option is implicitly passed to the compiler for the second
20885 compilation requested by @option{-fcompare-debug}, along with options to
20886 silence warnings, and omitting other options that would cause the compiler
20887 to produce output to files or to standard output as a side effect. Dump
20888 files and preserved temporary files are renamed so as to contain the
20889 @code{.gk} additional extension during the second compilation, to avoid
20890 overwriting those generated by the first.
20892 When this option is passed to the compiler driver, it causes the
20893 @emph{first} compilation to be skipped, which makes it useful for little
20894 other than debugging the compiler proper.
20898 Turn off generation of debug info, if leaving out this option
20899 generates it, or turn it on at level 2 otherwise. The position of this
20900 argument in the command line does not matter; it takes effect after all
20901 other options are processed, and it does so only once, no matter how
20902 many times it is given. This is mainly intended to be used with
20903 @option{-fcompare-debug}.
20905 @opindex fvar-tracking-assignments-toggle
20906 @opindex fno-var-tracking-assignments-toggle
20907 @item -fvar-tracking-assignments-toggle
20908 Toggle @option{-fvar-tracking-assignments}, in the same way that
20909 @option{-gtoggle} toggles @option{-g}.
20913 Makes the compiler print out each function name as it is compiled, and
20914 print some statistics about each pass when it finishes.
20916 @opindex ftime-report
20917 @item -ftime-report
20918 Makes the compiler print some statistics to stderr about the time consumed
20919 by each pass when it finishes.
20921 If SARIF output of diagnostics was requested via
20922 @option{-fdiagnostics-format=sarif-file} or
20923 @option{-fdiagnostics-format=sarif-stderr} then the @option{-ftime-report}
20924 information is instead emitted in JSON form as part of SARIF output. The
20925 precise format of this JSON data is subject to change, and the values may
20926 not exactly match those emitted to stderr due to being written out at a
20927 slightly different place within the compiler.
20929 @opindex ftime-report-details
20930 @item -ftime-report-details
20931 Record the time consumed by infrastructure parts separately for each pass.
20933 @opindex fira-verbose
20934 @item -fira-verbose=@var{n}
20935 Control the verbosity of the dump file for the integrated register allocator.
20936 The default value is 5. If the value @var{n} is greater or equal to 10,
20937 the dump output is sent to stderr using the same format as @var{n} minus 10.
20939 @opindex flto-report
20941 Prints a report with internal details on the workings of the link-time
20942 optimizer. The contents of this report vary from version to version.
20943 It is meant to be useful to GCC developers when processing object
20944 files in LTO mode (via @option{-flto}).
20946 Disabled by default.
20948 @opindex flto-report-wpa
20949 @item -flto-report-wpa
20950 Like @option{-flto-report}, but only print for the WPA phase of link-time
20953 @opindex fmem-report
20955 Makes the compiler print some statistics about permanent memory
20956 allocation when it finishes.
20958 @opindex fmem-report-wpa
20959 @item -fmem-report-wpa
20960 Makes the compiler print some statistics about permanent memory
20961 allocation for the WPA phase only.
20963 @opindex fpre-ipa-mem-report
20964 @opindex fpost-ipa-mem-report
20965 @item -fpre-ipa-mem-report
20966 @item -fpost-ipa-mem-report
20967 Makes the compiler print some statistics about permanent memory
20968 allocation before or after interprocedural optimization.
20970 @opindex fmultiflags
20972 This option enables multilib-aware @code{TFLAGS} to be used to build
20973 target libraries with options different from those the compiler is
20974 configured to use by default, through the use of specs (@pxref{Spec
20975 Files}) set up by compiler internals, by the target, or by builders at
20978 Like @code{TFLAGS}, this allows the target libraries to be built for
20979 portable baseline environments, while the compiler defaults to more
20980 demanding ones. That's useful because users can easily override the
20981 defaults the compiler is configured to use to build their own programs,
20982 if the defaults are not ideal for their target environment, whereas
20983 rebuilding the runtime libraries is usually not as easy or desirable.
20985 Unlike @code{TFLAGS}, the use of specs enables different flags to be
20986 selected for different multilibs. The way to accomplish that is to
20987 build with @samp{make TFLAGS=-fmultiflags}, after configuring
20988 @samp{--with-specs=%@{fmultiflags:...@}}.
20990 This option is discarded by the driver once it's done processing driver
20993 It is also useful to check that @code{TFLAGS} are being used to build
20994 all target libraries, by configuring a non-bootstrap compiler
20995 @samp{--with-specs='%@{!fmultiflags:%emissing TFLAGS@}'} and building
20996 the compiler and target libraries.
20998 @opindex fprofile-report
20999 @item -fprofile-report
21000 Makes the compiler print some statistics about consistency of the
21001 (estimated) profile and effect of individual passes.
21003 @opindex fstack-usage
21004 @item -fstack-usage
21005 Makes the compiler output stack usage information for the program, on a
21006 per-function basis. The filename for the dump is made by appending
21007 @file{.su} to the @var{auxname}. @var{auxname} is generated from the name of
21008 the output file, if explicitly specified and it is not an executable,
21009 otherwise it is the basename of the source file. An entry is made up
21014 The name of the function.
21018 One or more qualifiers: @code{static}, @code{dynamic}, @code{bounded}.
21021 The qualifier @code{static} means that the function manipulates the stack
21022 statically: a fixed number of bytes are allocated for the frame on function
21023 entry and released on function exit; no stack adjustments are otherwise made
21024 in the function. The second field is this fixed number of bytes.
21026 The qualifier @code{dynamic} means that the function manipulates the stack
21027 dynamically: in addition to the static allocation described above, stack
21028 adjustments are made in the body of the function, for example to push/pop
21029 arguments around function calls. If the qualifier @code{bounded} is also
21030 present, the amount of these adjustments is bounded at compile time and
21031 the second field is an upper bound of the total amount of stack used by
21032 the function. If it is not present, the amount of these adjustments is
21033 not bounded at compile time and the second field only represents the
21038 Emit statistics about front-end processing at the end of the compilation.
21039 This option is supported only by the C++ front end, and
21040 the information is generally only useful to the G++ development team.
21042 @opindex fdbg-cnt-list
21043 @item -fdbg-cnt-list
21044 Print the name and the counter upper bound for all debug counters.
21048 @item -fdbg-cnt=@var{counter-value-list}
21049 Set the internal debug counter lower and upper bound. @var{counter-value-list}
21050 is a comma-separated list of @var{name}:@var{lower_bound1}-@var{upper_bound1}
21051 [:@var{lower_bound2}-@var{upper_bound2}...] tuples which sets
21052 the name of the counter and list of closed intervals.
21053 The @var{lower_bound} is optional and is zero
21054 initialized if not set.
21055 For example, with @option{-fdbg-cnt=dce:2-4:10-11,tail_call:10},
21056 @code{dbg_cnt(dce)} returns true only for second, third, fourth, tenth and
21057 eleventh invocation.
21058 For @code{dbg_cnt(tail_call)} true is returned for first 10 invocations.
21060 @opindex print-file-name
21061 @item -print-file-name=@var{library}
21062 Print the full absolute name of the library file @var{library} that
21063 would be used when linking---and don't do anything else. With this
21064 option, GCC does not compile or link anything; it just prints the
21067 @opindex print-multi-directory
21068 @item -print-multi-directory
21069 Print the directory name corresponding to the multilib selected by any
21070 other switches present in the command line. This directory is supposed
21071 to exist in @env{GCC_EXEC_PREFIX}.
21073 @opindex print-multi-lib
21074 @item -print-multi-lib
21075 Print the mapping from multilib directory names to compiler switches
21076 that enable them. The directory name is separated from the switches by
21077 @samp{;}, and each switch starts with an @samp{@@} instead of the
21078 @samp{-}, without spaces between multiple switches. This is supposed to
21079 ease shell processing.
21081 @opindex print-multi-os-directory
21082 @item -print-multi-os-directory
21083 Print the path to OS libraries for the selected
21084 multilib, relative to some @file{lib} subdirectory. If OS libraries are
21085 present in the @file{lib} subdirectory and no multilibs are used, this is
21086 usually just @file{.}, if OS libraries are present in @file{lib@var{suffix}}
21087 sibling directories this prints e.g.@: @file{../lib64}, @file{../lib} or
21088 @file{../lib32}, or if OS libraries are present in @file{lib/@var{subdir}}
21089 subdirectories it prints e.g.@: @file{amd64}, @file{sparcv9} or @file{ev6}.
21091 @opindex print-multiarch
21092 @item -print-multiarch
21093 Print the path to OS libraries for the selected multiarch,
21094 relative to some @file{lib} subdirectory.
21096 @opindex print-prog-name
21097 @item -print-prog-name=@var{program}
21098 Like @option{-print-file-name}, but searches for a program such as @command{cpp}.
21100 @opindex print-libgcc-file-name
21101 @item -print-libgcc-file-name
21102 Same as @option{-print-file-name=libgcc.a}.
21104 This is useful when you use @option{-nostdlib} or @option{-nodefaultlibs}
21105 but you do want to link with @file{libgcc.a}. You can do:
21108 gcc -nostdlib @var{files}@dots{} `gcc -print-libgcc-file-name`
21111 @opindex print-search-dirs
21112 @item -print-search-dirs
21113 Print the name of the configured installation directory and a list of
21114 program and library directories @command{gcc} searches---and don't do anything else.
21116 This is useful when @command{gcc} prints the error message
21117 @samp{installation problem, cannot exec cpp0: No such file or directory}.
21118 To resolve this you either need to put @file{cpp0} and the other compiler
21119 components where @command{gcc} expects to find them, or you can set the environment
21120 variable @env{GCC_EXEC_PREFIX} to the directory where you installed them.
21121 Don't forget the trailing @samp{/}.
21122 @xref{Environment Variables}.
21124 @opindex print-sysroot
21125 @item -print-sysroot
21126 Print the target sysroot directory that is used during
21127 compilation. This is the target sysroot specified either at configure
21128 time or using the @option{--sysroot} option, possibly with an extra
21129 suffix that depends on compilation options. If no target sysroot is
21130 specified, the option prints nothing.
21132 @opindex print-sysroot-headers-suffix
21133 @item -print-sysroot-headers-suffix
21134 Print the suffix added to the target sysroot when searching for
21135 headers, or give an error if the compiler is not configured with such
21136 a suffix---and don't do anything else.
21138 @opindex dumpmachine
21140 Print the compiler's target machine (for example,
21141 @samp{i686-pc-linux-gnu})---and don't do anything else.
21143 @opindex dumpversion
21145 Print the compiler version (for example, @code{3.0}, @code{6.3.0} or @code{7})---and don't do
21146 anything else. This is the compiler version used in filesystem paths and
21147 specs. Depending on how the compiler has been configured it can be just
21148 a single number (major version), two numbers separated by a dot (major and
21149 minor version) or three numbers separated by dots (major, minor and patchlevel
21152 @opindex dumpfullversion
21153 @item -dumpfullversion
21154 Print the full compiler version---and don't do anything else. The output is
21155 always three numbers separated by dots, major, minor and patchlevel version.
21159 Print the compiler's built-in specs---and don't do anything else. (This
21160 is used when GCC itself is being built.) @xref{Spec Files}.
21163 @node Submodel Options
21164 @section Machine-Dependent Options
21165 @cindex submodel options
21166 @cindex specifying hardware config
21167 @cindex hardware models and configurations, specifying
21168 @cindex target-dependent options
21169 @cindex machine-dependent options
21171 Each target machine supported by GCC can have its own options---for
21172 example, to allow you to compile for a particular processor variant or
21173 ABI, or to control optimizations specific to that machine. By
21174 convention, the names of machine-specific options start with
21177 Some configurations of the compiler also support additional target-specific
21178 options, usually for compatibility with other compilers on the same
21181 @c This list is ordered alphanumerically by subsection name.
21182 @c It should be the same order and spelling as these options are listed
21183 @c in Machine Dependent Options
21186 * AArch64 Options::
21187 * Adapteva Epiphany Options::
21188 * AMD GCN Options::
21192 * Blackfin Options::
21196 * Cygwin and MinGW Options::
21198 * DEC Alpha Options::
21203 * GNU/Linux Options::
21208 * LoongArch Options::
21213 * MicroBlaze Options::
21216 * MN10300 Options::
21220 * Nios II Options::
21221 * Nvidia PTX Options::
21222 * OpenRISC Options::
21224 * PowerPC Options::
21228 * RS/6000 and PowerPC Options::
21230 * S/390 and zSeries Options::
21232 * Solaris 2 Options::
21234 * System V Options::
21239 * VxWorks Options::
21241 * x86 Windows Options::
21242 * Xstormy16 Options::
21244 * zSeries Options::
21247 @node AArch64 Options
21248 @subsection AArch64 Options
21249 @cindex AArch64 Options
21251 These options are defined for AArch64 implementations:
21256 @item -mabi=@var{name}
21257 Generate code for the specified data model. Permissible values
21258 are @samp{ilp32} for SysV-like data model where int, long int and pointers
21259 are 32 bits, and @samp{lp64} for SysV-like data model where int is 32 bits,
21260 but long int and pointers are 64 bits.
21262 The default depends on the specific target configuration. Note that
21263 the LP64 and ILP32 ABIs are not link-compatible; you must compile your
21264 entire program with the same ABI, and link with a compatible set of libraries.
21266 @opindex mbig-endian
21268 Generate big-endian code. This is the default when GCC is configured for an
21269 @samp{aarch64_be-*-*} target.
21271 @opindex mgeneral-regs-only
21272 @item -mgeneral-regs-only
21273 Generate code which uses only the general-purpose registers. This will prevent
21274 the compiler from using floating-point and Advanced SIMD registers but will not
21275 impose any restrictions on the assembler.
21277 @opindex mlittle-endian
21278 @item -mlittle-endian
21279 Generate little-endian code. This is the default when GCC is configured for an
21280 @samp{aarch64-*-*} but not an @samp{aarch64_be-*-*} target.
21283 @opindex mcmodel=tiny
21284 @item -mcmodel=tiny
21285 Generate code for the tiny code model. The program and its statically defined
21286 symbols must be within 1MB of each other. Programs can be statically or
21287 dynamically linked.
21289 @opindex mcmodel=small
21290 @item -mcmodel=small
21291 Generate code for the small code model. The program and its statically defined
21292 symbols must be within 4GB of each other. Programs can be statically or
21293 dynamically linked. This is the default code model.
21295 @opindex mcmodel=large
21296 @item -mcmodel=large
21297 Generate code for the large code model. This makes no assumptions about
21298 addresses and sizes of sections. Programs can be statically linked only. The
21299 @option{-mcmodel=large} option is incompatible with @option{-mabi=ilp32},
21300 @option{-fpic} and @option{-fPIC}.
21302 @item -mtp=@var{name}
21304 Specify the system register to use as a thread pointer. The valid values
21305 are @samp{tpidr_el0}, @samp{tpidrro_el0}, @samp{tpidr_el1}, @samp{tpidr_el2},
21306 @samp{tpidr_el3}. For backwards compatibility the aliases @samp{el0},
21307 @samp{el1}, @samp{el2}, @samp{el3} are also accepted.
21308 The default setting is @samp{tpidr_el0}. It is recommended to compile all
21309 code intended to interoperate with the same value of this option to avoid
21310 accessing a different thread pointer from the wrong exception level.
21312 @opindex mstrict-align
21313 @opindex mno-strict-align
21314 @item -mstrict-align
21315 @itemx -mno-strict-align
21316 Avoid or allow generating memory accesses that may not be aligned on a natural
21317 object boundary as described in the architecture specification.
21319 @opindex momit-leaf-frame-pointer
21320 @opindex mno-omit-leaf-frame-pointer
21321 @item -momit-leaf-frame-pointer
21322 @itemx -mno-omit-leaf-frame-pointer
21323 Omit or keep the frame pointer in leaf functions. The former behavior is the
21326 @opindex mstack-protector-guard
21327 @opindex mstack-protector-guard-reg
21328 @opindex mstack-protector-guard-offset
21329 @item -mstack-protector-guard=@var{guard}
21330 @itemx -mstack-protector-guard-reg=@var{reg}
21331 @itemx -mstack-protector-guard-offset=@var{offset}
21332 Generate stack protection code using canary at @var{guard}. Supported
21333 locations are @samp{global} for a global canary or @samp{sysreg} for a
21334 canary in an appropriate system register.
21336 With the latter choice the options
21337 @option{-mstack-protector-guard-reg=@var{reg}} and
21338 @option{-mstack-protector-guard-offset=@var{offset}} furthermore specify
21339 which system register to use as base register for reading the canary,
21340 and from what offset from that base register. There is no default
21341 register or offset as this is entirely for use within the Linux
21344 @opindex mtls-dialect=desc
21345 @item -mtls-dialect=desc
21346 Use TLS descriptors as the thread-local storage mechanism for dynamic accesses
21347 of TLS variables. This is the default.
21349 @opindex mtls-dialect=traditional
21350 @item -mtls-dialect=traditional
21351 Use traditional TLS as the thread-local storage mechanism for dynamic accesses
21355 @item -mtls-size=@var{size}
21356 Specify bit size of immediate TLS offsets. Valid values are 12, 24, 32, 48.
21357 This option requires binutils 2.26 or newer.
21359 @opindex mfix-cortex-a53-835769
21360 @opindex mno-fix-cortex-a53-835769
21361 @item -mfix-cortex-a53-835769
21362 @itemx -mno-fix-cortex-a53-835769
21363 Enable or disable the workaround for the ARM Cortex-A53 erratum number 835769.
21364 This involves inserting a NOP instruction between memory instructions and
21365 64-bit integer multiply-accumulate instructions.
21367 @opindex mfix-cortex-a53-843419
21368 @opindex mno-fix-cortex-a53-843419
21369 @item -mfix-cortex-a53-843419
21370 @itemx -mno-fix-cortex-a53-843419
21371 Enable or disable the workaround for the ARM Cortex-A53 erratum number 843419.
21372 This erratum workaround is made at link time and this will only pass the
21373 corresponding flag to the linker.
21375 @opindex mlow-precision-recip-sqrt
21376 @opindex mno-low-precision-recip-sqrt
21377 @item -mlow-precision-recip-sqrt
21378 @itemx -mno-low-precision-recip-sqrt
21379 Enable or disable the reciprocal square root approximation.
21380 This option only has an effect if @option{-ffast-math} or
21381 @option{-funsafe-math-optimizations} is used as well. Enabling this reduces
21382 precision of reciprocal square root results to about 16 bits for
21383 single precision and to 32 bits for double precision.
21385 @opindex mlow-precision-sqrt
21386 @opindex mno-low-precision-sqrt
21387 @item -mlow-precision-sqrt
21388 @itemx -mno-low-precision-sqrt
21389 Enable or disable the square root approximation.
21390 This option only has an effect if @option{-ffast-math} or
21391 @option{-funsafe-math-optimizations} is used as well. Enabling this reduces
21392 precision of square root results to about 16 bits for
21393 single precision and to 32 bits for double precision.
21394 If enabled, it implies @option{-mlow-precision-recip-sqrt}.
21396 @opindex mlow-precision-div
21397 @opindex mno-low-precision-div
21398 @item -mlow-precision-div
21399 @itemx -mno-low-precision-div
21400 Enable or disable the division approximation.
21401 This option only has an effect if @option{-ffast-math} or
21402 @option{-funsafe-math-optimizations} is used as well. Enabling this reduces
21403 precision of division results to about 16 bits for
21404 single precision and to 32 bits for double precision.
21406 @item -mtrack-speculation
21407 @itemx -mno-track-speculation
21408 Enable or disable generation of additional code to track speculative
21409 execution through conditional branches. The tracking state can then
21410 be used by the compiler when expanding calls to
21411 @code{__builtin_speculation_safe_copy} to permit a more efficient code
21412 sequence to be generated.
21414 @item -moutline-atomics
21415 @itemx -mno-outline-atomics
21416 Enable or disable calls to out-of-line helpers to implement atomic operations.
21417 These helpers will, at runtime, determine if the LSE instructions from
21418 ARMv8.1-A can be used; if not, they will use the load/store-exclusive
21419 instructions that are present in the base ARMv8.0 ISA.
21421 This option is only applicable when compiling for the base ARMv8.0
21422 instruction set. If using a later revision, e.g. @option{-march=armv8.1-a}
21423 or @option{-march=armv8-a+lse}, the ARMv8.1-Atomics instructions will be
21424 used directly. The same applies when using @option{-mcpu=} when the
21425 selected cpu supports the @samp{lse} feature.
21426 This option is on by default.
21429 @item -march=@var{name}
21430 Specify the name of the target architecture and, optionally, one or
21431 more feature modifiers. This option has the form
21432 @option{-march=@var{arch}@r{@{}+@r{[}no@r{]}@var{feature}@r{@}*}}.
21434 The table below summarizes the permissible values for @var{arch}
21435 and the features that they enable by default:
21437 @multitable @columnfractions 0.20 0.20 0.60
21438 @headitem @var{arch} value @tab Architecture @tab Includes by default
21439 @item @samp{armv8-a} @tab Armv8-A @tab @samp{+fp}, @samp{+simd}
21440 @item @samp{armv8.1-a} @tab Armv8.1-A @tab @samp{armv8-a}, @samp{+crc}, @samp{+lse}, @samp{+rdma}
21441 @item @samp{armv8.2-a} @tab Armv8.2-A @tab @samp{armv8.1-a}
21442 @item @samp{armv8.3-a} @tab Armv8.3-A @tab @samp{armv8.2-a}, @samp{+pauth}
21443 @item @samp{armv8.4-a} @tab Armv8.4-A @tab @samp{armv8.3-a}, @samp{+flagm}, @samp{+fp16fml}, @samp{+dotprod}
21444 @item @samp{armv8.5-a} @tab Armv8.5-A @tab @samp{armv8.4-a}, @samp{+sb}, @samp{+ssbs}, @samp{+predres}
21445 @item @samp{armv8.6-a} @tab Armv8.6-A @tab @samp{armv8.5-a}, @samp{+bf16}, @samp{+i8mm}
21446 @item @samp{armv8.7-a} @tab Armv8.7-A @tab @samp{armv8.6-a}, @samp{+ls64}
21447 @item @samp{armv8.8-a} @tab Armv8.8-a @tab @samp{armv8.7-a}, @samp{+mops}
21448 @item @samp{armv8.9-a} @tab Armv8.9-a @tab @samp{armv8.8-a}
21449 @item @samp{armv9-a} @tab Armv9-A @tab @samp{armv8.5-a}, @samp{+sve}, @samp{+sve2}
21450 @item @samp{armv9.1-a} @tab Armv9.1-A @tab @samp{armv9-a}, @samp{+bf16}, @samp{+i8mm}
21451 @item @samp{armv9.2-a} @tab Armv9.2-A @tab @samp{armv9.1-a}, @samp{+ls64}
21452 @item @samp{armv9.3-a} @tab Armv9.3-A @tab @samp{armv9.2-a}, @samp{+mops}
21453 @item @samp{armv9.4-a} @tab Armv9.4-A @tab @samp{armv9.3-a}
21454 @item @samp{armv8-r} @tab Armv8-R @tab @samp{armv8-r}
21457 The value @samp{native} is available on native AArch64 GNU/Linux and
21458 causes the compiler to pick the architecture of the host system. This
21459 option has no effect if the compiler is unable to recognize the
21460 architecture of the host system. When @option{-march=native} is given and
21461 no other @option{-mcpu} or @option{-mtune} is given then GCC will pick
21462 the host CPU as the CPU to tune for as well as select the architecture features
21463 from. That is, @option{-march=native} is treated as @option{-mcpu=native}.
21465 The permissible values for @var{feature} are listed in the sub-section
21466 on @ref{aarch64-feature-modifiers,,@option{-march} and @option{-mcpu}
21467 Feature Modifiers}. Where conflicting feature modifiers are
21468 specified, the right-most feature is used.
21470 GCC uses @var{name} to determine what kind of instructions it can emit
21471 when generating assembly code. If @option{-march} is specified
21472 without either of @option{-mtune} or @option{-mcpu} also being
21473 specified, the code is tuned to perform well across a range of target
21474 processors implementing the target architecture.
21477 @item -mtune=@var{name}
21478 Specify the name of the target processor for which GCC should tune the
21479 performance of the code. Permissible values for this option are:
21480 @samp{generic}, @samp{cortex-a35}, @samp{cortex-a53}, @samp{cortex-a55},
21481 @samp{cortex-a57}, @samp{cortex-a72}, @samp{cortex-a73}, @samp{cortex-a75},
21482 @samp{cortex-a76}, @samp{cortex-a76ae}, @samp{cortex-a77},
21483 @samp{cortex-a65}, @samp{cortex-a65ae}, @samp{cortex-a34},
21484 @samp{cortex-a78}, @samp{cortex-a78ae}, @samp{cortex-a78c},
21485 @samp{ares}, @samp{exynos-m1}, @samp{emag}, @samp{falkor},
21487 @samp{neoverse-512tvb}, @samp{neoverse-e1}, @samp{neoverse-n1},
21488 @samp{neoverse-n2}, @samp{neoverse-v1}, @samp{neoverse-v2}, @samp{grace},
21489 @samp{neoverse-v3}, @samp{neoverse-v3ae}, @samp{neoverse-n3},
21490 @samp{cortex-a725}, @samp{cortex-x925},
21491 @samp{qdf24xx}, @samp{saphira}, @samp{phecda}, @samp{xgene1}, @samp{vulcan},
21492 @samp{octeontx}, @samp{octeontx81}, @samp{octeontx83},
21493 @samp{octeontx2}, @samp{octeontx2t98}, @samp{octeontx2t96}
21494 @samp{octeontx2t93}, @samp{octeontx2f95}, @samp{octeontx2f95n},
21495 @samp{octeontx2f95mm},
21497 @samp{thunderx}, @samp{thunderxt88},
21498 @samp{thunderxt88p1}, @samp{thunderxt81}, @samp{tsv110},
21499 @samp{thunderxt83}, @samp{thunderx2t99}, @samp{thunderx3t110}, @samp{zeus},
21500 @samp{cortex-a57.cortex-a53}, @samp{cortex-a72.cortex-a53},
21501 @samp{cortex-a73.cortex-a35}, @samp{cortex-a73.cortex-a53},
21502 @samp{cortex-a75.cortex-a55}, @samp{cortex-a76.cortex-a55},
21503 @samp{cortex-r82}, @samp{cortex-x1}, @samp{cortex-x1c}, @samp{cortex-x2},
21504 @samp{cortex-x3}, @samp{cortex-x4}, @samp{cortex-a510}, @samp{cortex-a520},
21505 @samp{cortex-a710}, @samp{cortex-a715}, @samp{cortex-a720}, @samp{ampere1},
21506 @samp{ampere1a}, @samp{ampere1b}, @samp{cobalt-100} and @samp{native}.
21508 The values @samp{cortex-a57.cortex-a53}, @samp{cortex-a72.cortex-a53},
21509 @samp{cortex-a73.cortex-a35}, @samp{cortex-a73.cortex-a53},
21510 @samp{cortex-a75.cortex-a55}, @samp{cortex-a76.cortex-a55} specify that GCC
21511 should tune for a big.LITTLE system.
21513 The value @samp{neoverse-512tvb} specifies that GCC should tune
21514 for Neoverse cores that (a) implement SVE and (b) have a total vector
21515 bandwidth of 512 bits per cycle. In other words, the option tells GCC to
21516 tune for Neoverse cores that can execute 4 128-bit Advanced SIMD arithmetic
21517 instructions a cycle and that can execute an equivalent number of SVE
21518 arithmetic instructions per cycle (2 for 256-bit SVE, 4 for 128-bit SVE).
21519 This is more general than tuning for a specific core like Neoverse V1
21520 but is more specific than the default tuning described below.
21522 Additionally on native AArch64 GNU/Linux systems the value
21523 @samp{native} tunes performance to the host system. This option has no effect
21524 if the compiler is unable to recognize the processor of the host system.
21526 Where none of @option{-mtune=}, @option{-mcpu=} or @option{-march=}
21527 are specified, the code is tuned to perform well across a range
21528 of target processors.
21530 This option cannot be suffixed by feature modifiers.
21533 @item -mcpu=@var{name}
21534 Specify the name of the target processor, optionally suffixed by one
21535 or more feature modifiers. This option has the form
21536 @option{-mcpu=@var{cpu}@r{@{}+@r{[}no@r{]}@var{feature}@r{@}*}}, where
21537 the permissible values for @var{cpu} are the same as those available
21538 for @option{-mtune}. The permissible values for @var{feature} are
21539 documented in the sub-section on
21540 @ref{aarch64-feature-modifiers,,@option{-march} and @option{-mcpu}
21541 Feature Modifiers}. Where conflicting feature modifiers are
21542 specified, the right-most feature is used.
21544 GCC uses @var{name} to determine what kind of instructions it can emit when
21545 generating assembly code (as if by @option{-march}) and to determine
21546 the target processor for which to tune for performance (as if
21547 by @option{-mtune}). Where this option is used in conjunction
21548 with @option{-march} or @option{-mtune}, those options take precedence
21549 over the appropriate part of this option.
21551 @option{-mcpu=neoverse-512tvb} is special in that it does not refer
21552 to a specific core, but instead refers to all Neoverse cores that
21553 (a) implement SVE and (b) have a total vector bandwidth of 512 bits
21554 a cycle. Unless overridden by @option{-march},
21555 @option{-mcpu=neoverse-512tvb} generates code that can run on a
21556 Neoverse V1 core, since Neoverse V1 is the first Neoverse core with
21557 these properties. Unless overridden by @option{-mtune},
21558 @option{-mcpu=neoverse-512tvb} tunes code in the same way as for
21559 @option{-mtune=neoverse-512tvb}.
21562 @item -moverride=@var{string}
21563 Override tuning decisions made by the back-end in response to a
21564 @option{-mtune=} switch. The syntax, semantics, and accepted values
21565 for @var{string} in this option are not guaranteed to be consistent
21568 This option is only intended to be useful when developing GCC.
21570 @opindex mverbose-cost-dump
21571 @item -mverbose-cost-dump
21572 Enable verbose cost model dumping in the debug dump files. This option is
21573 provided for use in debugging the compiler.
21575 @opindex mpc-relative-literal-loads
21576 @opindex mno-pc-relative-literal-loads
21577 @item -mpc-relative-literal-loads
21578 @itemx -mno-pc-relative-literal-loads
21579 Enable or disable PC-relative literal loads. With this option literal pools are
21580 accessed using a single instruction and emitted after each function. This
21581 limits the maximum size of functions to 1MB. This is enabled by default for
21582 @option{-mcmodel=tiny}.
21584 @opindex msign-return-address
21585 @item -msign-return-address=@var{scope}
21586 Select the function scope on which return address signing will be applied.
21587 Permissible values are @samp{none}, which disables return address signing,
21588 @samp{non-leaf}, which enables pointer signing for functions which are not leaf
21589 functions, and @samp{all}, which enables pointer signing for all functions. The
21590 default value is @samp{none}. This option has been deprecated by
21591 -mbranch-protection.
21593 @opindex mbranch-protection
21594 @item -mbranch-protection=@var{none}|@var{standard}|@var{pac-ret}[+@var{leaf}+@var{b-key}]|@var{bti}
21595 Select the branch protection features to use.
21596 @samp{none} is the default and turns off all types of branch protection.
21597 @samp{standard} turns on all types of branch protection features. If a feature
21598 has additional tuning options, then @samp{standard} sets it to its standard
21600 @samp{pac-ret[+@var{leaf}]} turns on return address signing to its standard
21601 level: signing functions that save the return address to memory (non-leaf
21602 functions will practically always do this) using the a-key. The optional
21603 argument @samp{leaf} can be used to extend the signing to include leaf
21604 functions. The optional argument @samp{b-key} can be used to sign the functions
21605 with the B-key instead of the A-key.
21606 @samp{bti} turns on branch target identification mechanism.
21608 @opindex mharden-sls
21609 @item -mharden-sls=@var{opts}
21610 Enable compiler hardening against straight line speculation (SLS).
21611 @var{opts} is a comma-separated list of the following options:
21616 In addition, @samp{-mharden-sls=all} enables all SLS hardening while
21617 @samp{-mharden-sls=none} disables all SLS hardening.
21620 @item -mearly-ra=@var{scope}
21621 Determine when to enable an early register allocation pass. This pass runs
21622 before instruction scheduling and tries to find a spill-free allocation of
21623 floating-point and vector code. It also tries to make use of strided
21624 multi-register instructions, such as SME2's strided LD1 and ST1.
21626 The possible values of @var{scope} are: @var{all}, which runs the pass on
21627 all functions; @var{strided}, which runs the pass on functions that have
21628 access to strided multi-register instructions; and @var{none}, which
21631 @option{-mearly-ra=all} is the default for @option{-O2} and above, and for
21632 @option{-Os}. @option{-mearly-ra=none} is the default otherwise.
21634 @opindex mearly-ldp-fusion
21635 @item -mearly-ldp-fusion
21636 Enable the copy of the AArch64 load/store pair fusion pass that runs before
21637 register allocation. Enabled by default at @samp{-O} and above.
21639 @opindex mlate-ldp-fusion
21640 @item -mlate-ldp-fusion
21641 Enable the copy of the AArch64 load/store pair fusion pass that runs after
21642 register allocation. Enabled by default at @samp{-O} and above.
21644 @opindex msve-vector-bits
21645 @item -msve-vector-bits=@var{bits}
21646 Specify the number of bits in an SVE vector register. This option only has
21647 an effect when SVE is enabled.
21649 GCC supports two forms of SVE code generation: ``vector-length
21650 agnostic'' output that works with any size of vector register and
21651 ``vector-length specific'' output that allows GCC to make assumptions
21652 about the vector length when it is useful for optimization reasons.
21653 The possible values of @samp{bits} are: @samp{scalable}, @samp{128},
21654 @samp{256}, @samp{512}, @samp{1024} and @samp{2048}.
21655 Specifying @samp{scalable} selects vector-length agnostic
21656 output. At present @samp{-msve-vector-bits=128} also generates vector-length
21657 agnostic output for big-endian targets. All other values generate
21658 vector-length specific code. The behavior of these values may change
21659 in future releases and no value except @samp{scalable} should be
21660 relied on for producing code that is portable across different
21661 hardware SVE vector lengths.
21663 The default is @samp{-msve-vector-bits=scalable}, which produces
21664 vector-length agnostic code.
21667 @subsubsection @option{-march} and @option{-mcpu} Feature Modifiers
21668 @anchor{aarch64-feature-modifiers}
21669 @cindex @option{-march} feature modifiers
21670 @cindex @option{-mcpu} feature modifiers
21671 Feature modifiers used with @option{-march} and @option{-mcpu} can be any of
21672 the following and their inverses @option{no@var{feature}}:
21676 Enable CRC extension. This is on by default for
21677 @option{-march=armv8.1-a}.
21679 Enable Crypto extension. This also enables Advanced SIMD and floating-point
21682 Enable floating-point instructions. This is on by default for all possible
21683 values for options @option{-march} and @option{-mcpu}.
21685 Enable Advanced SIMD instructions. This also enables floating-point
21686 instructions. This is on by default for all possible values for options
21687 @option{-march} and @option{-mcpu}.
21689 Enable Scalable Vector Extension instructions. This also enables Advanced
21690 SIMD and floating-point instructions.
21692 Enable Large System Extension instructions. This is on by default for
21693 @option{-march=armv8.1-a}.
21695 Enable Round Double Multiply Accumulate instructions. This is on by default
21696 for @option{-march=armv8.1-a}.
21698 Enable FP16 extension. This also enables floating-point instructions.
21700 Enable FP16 fmla extension. This also enables FP16 extensions and
21701 floating-point instructions. This option is enabled by default for @option{-march=armv8.4-a}. Use of this option with architectures prior to Armv8.2-A is not supported.
21704 Enable the RCpc extension. This enables the use of the LDAPR instructions for
21705 load-acquire atomic semantics, and passes it on to the assembler, enabling
21706 inline asm statements to use instructions from the RCpc extension.
21708 Enable the Dot Product extension. This also enables Advanced SIMD instructions.
21710 Enable the Armv8-a aes and pmull crypto extension. This also enables Advanced
21713 Enable the Armv8-a sha2 crypto extension. This also enables Advanced SIMD instructions.
21715 Enable the sha512 and sha3 crypto extension. This also enables Advanced SIMD
21716 instructions. Use of this option with architectures prior to Armv8.2-A is not supported.
21718 Enable the sm3 and sm4 crypto extension. This also enables Advanced SIMD instructions.
21719 Use of this option with architectures prior to Armv8.2-A is not supported.
21721 Enable the Statistical Profiling extension. This option is only to enable the
21722 extension at the assembler level and does not affect code generation.
21724 Enable the Armv8.5-a Random Number instructions. This option is only to
21725 enable the extension at the assembler level and does not affect code
21728 Enable the Armv8.5-a Memory Tagging Extensions.
21729 Use of this option with architectures prior to Armv8.5-A is not supported.
21731 Enable the Armv8-a Speculation Barrier instruction. This option is only to
21732 enable the extension at the assembler level and does not affect code
21733 generation. This option is enabled by default for @option{-march=armv8.5-a}.
21735 Enable the Armv8-a Speculative Store Bypass Safe instruction. This option
21736 is only to enable the extension at the assembler level and does not affect code
21737 generation. This option is enabled by default for @option{-march=armv8.5-a}.
21739 Enable the Armv8-a Execution and Data Prediction Restriction instructions.
21740 This option is only to enable the extension at the assembler level and does
21741 not affect code generation. This option is enabled by default for
21742 @option{-march=armv8.5-a}.
21744 Enable the Armv8-a Scalable Vector Extension 2. This also enables SVE
21747 Enable SVE2 bitperm instructions. This also enables SVE2 instructions.
21749 Enable SVE2 sm4 instructions. This also enables SVE2 instructions.
21751 Enable SVE2 aes instructions. This also enables SVE2 instructions.
21753 Enable SVE2 sha3 instructions. This also enables SVE2 instructions.
21755 Enable the Transactional Memory Extension.
21757 Enable 8-bit Integer Matrix Multiply instructions. This also enables
21758 Advanced SIMD and floating-point instructions. This option is enabled by
21759 default for @option{-march=armv8.6-a}. Use of this option with architectures
21760 prior to Armv8.2-A is not supported.
21762 Enable 32-bit Floating point Matrix Multiply instructions. This also enables
21763 SVE instructions. Use of this option with architectures prior to Armv8.2-A is
21766 Enable 64-bit Floating point Matrix Multiply instructions. This also enables
21767 SVE instructions. Use of this option with architectures prior to Armv8.2-A is
21770 Enable brain half-precision floating-point instructions. This also enables
21771 Advanced SIMD and floating-point instructions. This option is enabled by
21772 default for @option{-march=armv8.6-a}. Use of this option with architectures
21773 prior to Armv8.2-A is not supported.
21775 Enable the 64-byte atomic load and store instructions for accelerators.
21776 This option is enabled by default for @option{-march=armv8.7-a}.
21778 Enable the instructions to accelerate memory operations like @code{memcpy},
21779 @code{memmove}, @code{memset}. This option is enabled by default for
21780 @option{-march=armv8.8-a}
21782 Enable the Flag Manipulation instructions Extension.
21784 Enable the Pointer Authentication Extension.
21786 Enable the Common Short Sequence Compression instructions.
21788 Enable the Scalable Matrix Extension.
21790 Enable the FEAT_SME_I16I64 extension to SME.
21792 Enable the FEAT_SME_F64F64 extension to SME.
21794 Enable the Scalable Matrix Extension 2. This also enables SME instructions.
21796 Enable the LSE128 128-bit atomic instructions extension. This also
21797 enables LSE instructions.
21799 Enable support for 128-bit system register read/write instructions.
21800 This also enables the LSE128 extension.
21802 Enable support for Armv9.4-a Guarded Control Stack extension.
21804 Enable support for Armv8.9-a/9.4-a translation hardening extension.
21806 Enable the RCpc3 (Release Consistency) extension.
21808 Enable the fp8 (8-bit floating point) extension.
21810 Enable the Floating Point Absolute Maximum/Minimum extension.
21814 Feature @option{crypto} implies @option{aes}, @option{sha2}, and @option{simd},
21815 which implies @option{fp}.
21816 Conversely, @option{nofp} implies @option{nosimd}, which implies
21817 @option{nocrypto}, @option{noaes} and @option{nosha2}.
21819 @node Adapteva Epiphany Options
21820 @subsection Adapteva Epiphany Options
21822 These @samp{-m} options are defined for Adapteva Epiphany:
21825 @opindex mhalf-reg-file
21826 @item -mhalf-reg-file
21827 Don't allocate any register in the range @code{r32}@dots{}@code{r63}.
21828 That allows code to run on hardware variants that lack these registers.
21830 @opindex mprefer-short-insn-regs
21831 @item -mprefer-short-insn-regs
21832 Preferentially allocate registers that allow short instruction generation.
21833 This can result in increased instruction count, so this may either reduce or
21834 increase overall code size.
21836 @opindex mbranch-cost
21837 @item -mbranch-cost=@var{num}
21838 Set the cost of branches to roughly @var{num} ``simple'' instructions.
21839 This cost is only a heuristic and is not guaranteed to produce
21840 consistent results across releases.
21844 Enable the generation of conditional moves.
21847 @item -mnops=@var{num}
21848 Emit @var{num} NOPs before every other generated instruction.
21850 @opindex mno-soft-cmpsf
21851 @opindex msoft-cmpsf
21852 @item -mno-soft-cmpsf
21853 For single-precision floating-point comparisons, emit an @code{fsub} instruction
21854 and test the flags. This is faster than a software comparison, but can
21855 get incorrect results in the presence of NaNs, or when two different small
21856 numbers are compared such that their difference is calculated as zero.
21857 The default is @option{-msoft-cmpsf}, which uses slower, but IEEE-compliant,
21858 software comparisons.
21860 @opindex mstack-offset
21861 @item -mstack-offset=@var{num}
21862 Set the offset between the top of the stack and the stack pointer.
21863 E.g., a value of 8 means that the eight bytes in the range @code{sp+0@dots{}sp+7}
21864 can be used by leaf functions without stack allocation.
21865 Values other than @samp{8} or @samp{16} are untested and unlikely to work.
21866 Note also that this option changes the ABI; compiling a program with a
21867 different stack offset than the libraries have been compiled with
21868 generally does not work.
21869 This option can be useful if you want to evaluate if a different stack
21870 offset would give you better code, but to actually use a different stack
21871 offset to build working programs, it is recommended to configure the
21872 toolchain with the appropriate @option{--with-stack-offset=@var{num}} option.
21874 @opindex mno-round-nearest
21875 @opindex mround-nearest
21876 @item -mno-round-nearest
21877 Make the scheduler assume that the rounding mode has been set to
21878 truncating. The default is @option{-mround-nearest}.
21880 @opindex mlong-calls
21882 If not otherwise specified by an attribute, assume all calls might be beyond
21883 the offset range of the @code{b} / @code{bl} instructions, and therefore load the
21884 function address into a register before performing a (otherwise direct) call.
21885 This is the default.
21887 @opindex short-calls
21888 @item -mshort-calls
21889 If not otherwise specified by an attribute, assume all direct calls are
21890 in the range of the @code{b} / @code{bl} instructions, so use these instructions
21891 for direct calls. The default is @option{-mlong-calls}.
21895 Assume addresses can be loaded as 16-bit unsigned values. This does not
21896 apply to function addresses for which @option{-mlong-calls} semantics
21900 @item -mfp-mode=@var{mode}
21901 Set the prevailing mode of the floating-point unit.
21902 This determines the floating-point mode that is provided and expected
21903 at function call and return time. Making this mode match the mode you
21904 predominantly need at function start can make your programs smaller and
21905 faster by avoiding unnecessary mode switches.
21907 @var{mode} can be set to one the following values:
21911 Any mode at function entry is valid, and retained or restored when
21912 the function returns, and when it calls other functions.
21913 This mode is useful for compiling libraries or other compilation units
21914 you might want to incorporate into different programs with different
21915 prevailing FPU modes, and the convenience of being able to use a single
21916 object file outweighs the size and speed overhead for any extra
21917 mode switching that might be needed, compared with what would be needed
21918 with a more specific choice of prevailing FPU mode.
21921 This is the mode used for floating-point calculations with
21922 truncating (i.e.@: round towards zero) rounding mode. That includes
21923 conversion from floating point to integer.
21925 @item round-nearest
21926 This is the mode used for floating-point calculations with
21927 round-to-nearest-or-even rounding mode.
21930 This is the mode used to perform integer calculations in the FPU, e.g.@:
21931 integer multiply, or integer multiply-and-accumulate.
21934 The default is @option{-mfp-mode=caller}
21936 @opindex mno-split-lohi
21937 @opindex msplit-lohi
21938 @opindex mno-postinc
21940 @opindex mno-postmodify
21941 @opindex mpostmodify
21942 @item -mno-split-lohi
21943 @itemx -mno-postinc
21944 @itemx -mno-postmodify
21945 Code generation tweaks that disable, respectively, splitting of 32-bit
21946 loads, generation of post-increment addresses, and generation of
21947 post-modify addresses. The defaults are @option{msplit-lohi},
21948 @option{-mpost-inc}, and @option{-mpost-modify}.
21950 @opindex mno-vect-double
21951 @opindex mvect-double
21952 @item -mnovect-double
21953 Change the preferred SIMD mode to SImode. The default is
21954 @option{-mvect-double}, which uses DImode as preferred SIMD mode.
21956 @opindex max-vect-align
21957 @item -max-vect-align=@var{num}
21958 The maximum alignment for SIMD vector mode types.
21959 @var{num} may be 4 or 8. The default is 8.
21960 Note that this is an ABI change, even though many library function
21961 interfaces are unaffected if they don't use SIMD vector modes
21962 in places that affect size and/or alignment of relevant types.
21964 @opindex msplit-vecmove-early
21965 @item -msplit-vecmove-early
21966 Split vector moves into single word moves before reload. In theory this
21967 can give better register allocation, but so far the reverse seems to be
21968 generally the case.
21971 @item -m1reg-@var{reg}
21972 Specify a register to hold the constant @minus{}1, which makes loading small negative
21973 constants and certain bitmasks faster.
21974 Allowable values for @var{reg} are @samp{r43} and @samp{r63},
21975 which specify use of that register as a fixed register,
21976 and @samp{none}, which means that no register is used for this
21977 purpose. The default is @option{-m1reg-none}.
21981 @node AMD GCN Options
21982 @subsection AMD GCN Options
21983 @cindex AMD GCN Options
21985 These options are defined specifically for the AMD GCN port.
21991 @item -march=@var{gpu}
21992 @itemx -mtune=@var{gpu}
21993 Set architecture type or tuning for @var{gpu}. Supported values for @var{gpu}
21998 Compile for GCN5 Vega 10 devices (gfx900).
22001 Compile for GCN5 Vega 20 devices (gfx906).
22004 Compile for CDNA1 Instinct MI100 series devices (gfx908).
22007 Compile for CDNA2 Instinct MI200 series devices (gfx90a).
22010 Compile for GCN5 Vega 7 devices (gfx90c).
22013 Compile for RDNA2 gfx1030 devices (GFX10 series).
22016 Compile for RDNA2 gfx1036 devices (GFX10 series).
22019 Compile for RDNA3 gfx1100 devices (GFX11 series).
22022 Compile for RDNA3 gfx1103 devices (GFX11 series).
22027 @item -msram-ecc=on
22028 @itemx -msram-ecc=off
22029 @itemx -msram-ecc=any
22030 Compile binaries suitable for devices with the SRAM-ECC feature enabled,
22031 disabled, or either mode. This feature can be enabled per-process on some
22032 devices. The compiled code must match the device mode. The default is
22033 @samp{any}, for devices that support it.
22035 @opindex mstack-size
22036 @item -mstack-size=@var{bytes}
22037 Specify how many @var{bytes} of stack space will be requested for each GPU
22038 thread (wave-front). Beware that there may be many threads and limited memory
22039 available. The size of the stack allocation may also have an impact on
22040 run-time performance. The default is 32KB when using OpenACC or OpenMP, and
22047 Compile binaries suitable for devices with the XNACK feature enabled, disabled,
22048 or either mode. Some devices always require XNACK and some allow the user to
22049 configure XNACK. The compiled code must match the device mode.
22050 The default is @samp{-mxnack=any} on devices that support Unified Shared
22051 Memory, and @samp{-mxnack=no} otherwise.
22056 @subsection ARC Options
22057 @cindex ARC options
22059 The following options control the architecture variant for which code
22062 @c architecture variants
22065 @opindex mbarrel-shifter
22066 @item -mbarrel-shifter
22067 Generate instructions supported by barrel shifter. This is the default
22068 unless @option{-mcpu=ARC601} or @samp{-mcpu=ARCEM} is in effect.
22070 @opindex mjli-always
22072 Force to call a function using jli_s instruction. This option is
22073 valid only for ARCv2 architecture.
22076 @item -mcpu=@var{cpu}
22077 Set architecture type, register usage, and instruction scheduling
22078 parameters for @var{cpu}. There are also shortcut alias options
22079 available for backward compatibility and convenience. Supported
22080 values for @var{cpu} are
22086 Compile for ARC600. Aliases: @option{-mA6}, @option{-mARC600}.
22090 Compile for ARC601. Alias: @option{-mARC601}.
22095 Compile for ARC700. Aliases: @option{-mA7}, @option{-mARC700}.
22096 This is the default when configured with @option{--with-cpu=arc700}@.
22099 Compile for ARC EM.
22102 Compile for ARC HS.
22105 Compile for ARC EM CPU with no hardware extensions.
22108 Compile for ARC EM4 CPU.
22111 Compile for ARC EM4 DMIPS CPU.
22114 Compile for ARC EM4 DMIPS CPU with the single-precision floating-point
22118 Compile for ARC EM4 DMIPS CPU with single-precision floating-point and
22119 double assist instructions.
22122 Compile for ARC HS CPU with no hardware extensions except the atomic
22126 Compile for ARC HS34 CPU.
22129 Compile for ARC HS38 CPU.
22132 Compile for ARC HS38 CPU with all hardware extensions on.
22135 Compile for ARC HS4x CPU.
22138 Compile for ARC HS4xD CPU.
22141 Compile for ARC HS4x CPU release 3.10a.
22144 Compile for ARC 600 CPU with @code{norm} instructions enabled.
22146 @item arc600_mul32x16
22147 Compile for ARC 600 CPU with @code{norm} and 32x16-bit multiply
22148 instructions enabled.
22151 Compile for ARC 600 CPU with @code{norm} and @code{mul64}-family
22152 instructions enabled.
22155 Compile for ARC 601 CPU with @code{norm} instructions enabled.
22157 @item arc601_mul32x16
22158 Compile for ARC 601 CPU with @code{norm} and 32x16-bit multiply
22159 instructions enabled.
22162 Compile for ARC 601 CPU with @code{norm} and @code{mul64}-family
22163 instructions enabled.
22166 Compile for ARC 700 on NPS400 chip.
22169 Compile for ARC EM minimalist configuration featuring reduced register
22175 @opindex mdpfp-compact
22177 @itemx -mdpfp-compact
22178 Generate double-precision FPX instructions, tuned for the compact
22181 @opindex mdpfp-fast
22183 Generate double-precision FPX instructions, tuned for the fast
22186 @opindex mno-dpfp-lrsr
22187 @item -mno-dpfp-lrsr
22188 Disable @code{lr} and @code{sr} instructions from using FPX extension
22193 Generate extended arithmetic instructions. Currently only
22194 @code{divaw}, @code{adds}, @code{subs}, and @code{sat16} are
22195 supported. Only valid for @option{-mcpu=ARC700}.
22200 Do not generate @code{mpy}-family instructions for ARC700. This option is
22205 Generate 32x16-bit multiply and multiply-accumulate instructions.
22209 Generate @code{mul64} and @code{mulu64} instructions.
22210 Only valid for @option{-mcpu=ARC600}.
22214 Generate @code{norm} instructions. This is the default if @option{-mcpu=ARC700}
22218 @opindex mspfp-compact
22220 @itemx -mspfp-compact
22221 Generate single-precision FPX instructions, tuned for the compact
22224 @opindex mspfp-fast
22226 Generate single-precision FPX instructions, tuned for the fast
22231 Enable generation of ARC SIMD instructions via target-specific
22232 builtins. Only valid for @option{-mcpu=ARC700}.
22234 @opindex msoft-float
22236 This option ignored; it is provided for compatibility purposes only.
22237 Software floating-point code is emitted by default, and this default
22238 can overridden by FPX options; @option{-mspfp}, @option{-mspfp-compact}, or
22239 @option{-mspfp-fast} for single precision, and @option{-mdpfp},
22240 @option{-mdpfp-compact}, or @option{-mdpfp-fast} for double precision.
22244 Generate @code{swap} instructions.
22248 This enables use of the locked load/store conditional extension to implement
22249 atomic memory built-in functions. Not available for ARC 6xx or ARC
22254 Enable @code{div} and @code{rem} instructions for ARCv2 cores.
22256 @opindex mcode-density
22257 @item -mcode-density
22258 Enable code density instructions for ARC EM.
22259 This option is on by default for ARC HS.
22263 Enable double load/store operations for ARC HS cores.
22266 @item -mtp-regno=@var{regno}
22267 Specify thread pointer register number.
22269 @opindex mmpy-option
22270 @item -mmpy-option=@var{multo}
22271 Compile ARCv2 code with a multiplier design option. You can specify
22272 the option using either a string or numeric value for @var{multo}.
22273 @samp{wlh1} is the default value. The recognized values are:
22278 No multiplier available.
22282 16x16 multiplier, fully pipelined.
22283 The following instructions are enabled: @code{mpyw} and @code{mpyuw}.
22287 32x32 multiplier, fully
22288 pipelined (1 stage). The following instructions are additionally
22289 enabled: @code{mpy}, @code{mpyu}, @code{mpym}, @code{mpymu}, and @code{mpy_s}.
22293 32x32 multiplier, fully pipelined
22294 (2 stages). The following instructions are additionally enabled: @code{mpy},
22295 @code{mpyu}, @code{mpym}, @code{mpymu}, and @code{mpy_s}.
22299 Two 16x16 multipliers, blocking,
22300 sequential. The following instructions are additionally enabled: @code{mpy},
22301 @code{mpyu}, @code{mpym}, @code{mpymu}, and @code{mpy_s}.
22305 One 16x16 multiplier, blocking,
22306 sequential. The following instructions are additionally enabled: @code{mpy},
22307 @code{mpyu}, @code{mpym}, @code{mpymu}, and @code{mpy_s}.
22311 One 32x4 multiplier, blocking,
22312 sequential. The following instructions are additionally enabled: @code{mpy},
22313 @code{mpyu}, @code{mpym}, @code{mpymu}, and @code{mpy_s}.
22317 ARC HS SIMD support.
22321 ARC HS SIMD support.
22325 ARC HS SIMD support.
22329 This option is only available for ARCv2 cores@.
22332 @item -mfpu=@var{fpu}
22333 Enables support for specific floating-point hardware extensions for ARCv2
22334 cores. Supported values for @var{fpu} are:
22339 Enables support for single-precision floating-point hardware
22343 Enables support for double-precision floating-point hardware
22344 extensions. The single-precision floating-point extension is also
22345 enabled. Not available for ARC EM@.
22348 Enables support for double-precision floating-point hardware
22349 extensions using double-precision assist instructions. The single-precision
22350 floating-point extension is also enabled. This option is
22351 only available for ARC EM@.
22354 Enables support for double-precision floating-point hardware
22355 extensions using double-precision assist instructions.
22356 The single-precision floating-point, square-root, and divide
22357 extensions are also enabled. This option is
22358 only available for ARC EM@.
22361 Enables support for double-precision floating-point hardware
22362 extensions using double-precision assist instructions.
22363 The single-precision floating-point and fused multiply and add
22364 hardware extensions are also enabled. This option is
22365 only available for ARC EM@.
22368 Enables support for double-precision floating-point hardware
22369 extensions using double-precision assist instructions.
22370 All single-precision floating-point hardware extensions are also
22371 enabled. This option is only available for ARC EM@.
22374 Enables support for single-precision floating-point, square-root and divide
22375 hardware extensions@.
22378 Enables support for double-precision floating-point, square-root and divide
22379 hardware extensions. This option
22380 includes option @samp{fpus_div}. Not available for ARC EM@.
22383 Enables support for single-precision floating-point and
22384 fused multiply and add hardware extensions@.
22387 Enables support for double-precision floating-point and
22388 fused multiply and add hardware extensions. This option
22389 includes option @samp{fpus_fma}. Not available for ARC EM@.
22392 Enables support for all single-precision floating-point hardware
22396 Enables support for all single- and double-precision floating-point
22397 hardware extensions. Not available for ARC EM@.
22401 @opindex mirq-ctrl-saved
22402 @item -mirq-ctrl-saved=@var{register-range}, @var{blink}, @var{lp_count}
22403 Specifies general-purposes registers that the processor automatically
22404 saves/restores on interrupt entry and exit. @var{register-range} is
22405 specified as two registers separated by a dash. The register range
22406 always starts with @code{r0}, the upper limit is @code{fp} register.
22407 @var{blink} and @var{lp_count} are optional. This option is only
22408 valid for ARC EM and ARC HS cores.
22410 @opindex mrgf-banked-regs
22411 @item -mrgf-banked-regs=@var{number}
22412 Specifies the number of registers replicated in second register bank
22413 on entry to fast interrupt. Fast interrupts are interrupts with the
22414 highest priority level P0. These interrupts save only PC and STATUS32
22415 registers to avoid memory transactions during interrupt entry and exit
22416 sequences. Use this option when you are using fast interrupts in an
22417 ARC V2 family processor. Permitted values are 4, 8, 16, and 32.
22419 @opindex mlpc-width
22420 @item -mlpc-width=@var{width}
22421 Specify the width of the @code{lp_count} register. Valid values for
22422 @var{width} are 8, 16, 20, 24, 28 and 32 bits. The default width is
22423 fixed to 32 bits. If the width is less than 32, the compiler does not
22424 attempt to transform loops in your program to use the zero-delay loop
22425 mechanism unless it is known that the @code{lp_count} register can
22426 hold the required loop-counter value. Depending on the width
22427 specified, the compiler and run-time library might continue to use the
22428 loop mechanism for various needs. This option defines macro
22429 @code{__ARC_LPC_WIDTH__} with the value of @var{width}.
22433 This option instructs the compiler to generate code for a 16-entry
22434 register file. This option defines the @code{__ARC_RF16__}
22435 preprocessor macro.
22437 @opindex mbranch-index
22438 @item -mbranch-index
22439 Enable use of @code{bi} or @code{bih} instructions to implement jump
22444 The following options are passed through to the assembler, and also
22445 define preprocessor macro symbols.
22447 @c Flags used by the assembler, but for which we define preprocessor
22448 @c macro symbols as well.
22450 @opindex mdsp-packa
22452 Passed down to the assembler to enable the DSP Pack A extensions.
22453 Also sets the preprocessor symbol @code{__Xdsp_packa}. This option is
22458 Passed down to the assembler to enable the dual Viterbi butterfly
22459 extension. Also sets the preprocessor symbol @code{__Xdvbf}. This
22460 option is deprecated.
22462 @c ARC700 4.10 extension instruction
22465 Passed down to the assembler to enable the locked load/store
22466 conditional extension. Also sets the preprocessor symbol
22471 Passed down to the assembler. Also sets the preprocessor symbol
22472 @code{__Xxmac_d16}. This option is deprecated.
22476 Passed down to the assembler. Also sets the preprocessor symbol
22477 @code{__Xxmac_24}. This option is deprecated.
22479 @c ARC700 4.10 extension instruction
22482 Passed down to the assembler to enable the 64-bit time-stamp counter
22483 extension instruction. Also sets the preprocessor symbol
22484 @code{__Xrtsc}. This option is deprecated.
22486 @c ARC700 4.10 extension instruction
22489 Passed down to the assembler to enable the swap byte ordering
22490 extension instruction. Also sets the preprocessor symbol
22493 @opindex mtelephony
22495 Passed down to the assembler to enable dual- and single-operand
22496 instructions for telephony. Also sets the preprocessor symbol
22497 @code{__Xtelephony}. This option is deprecated.
22501 Passed down to the assembler to enable the XY memory extension. Also
22502 sets the preprocessor symbol @code{__Xxy}.
22506 The following options control how the assembly code is annotated:
22508 @c Assembly annotation options
22512 Annotate assembler instructions with estimated addresses.
22514 @opindex mannotate-align
22515 @item -mannotate-align
22516 Does nothing. Preserved for backward compatibility.
22520 The following options are passed through to the linker:
22522 @c options passed through to the linker
22526 Passed through to the linker, to specify use of the @code{arclinux} emulation.
22527 This option is enabled by default in tool chains built for
22528 @w{@code{arc-linux-uclibc}} and @w{@code{arceb-linux-uclibc}} targets
22529 when profiling is not requested.
22531 @opindex marclinux_prof
22532 @item -marclinux_prof
22533 Passed through to the linker, to specify use of the
22534 @code{arclinux_prof} emulation. This option is enabled by default in
22535 tool chains built for @w{@code{arc-linux-uclibc}} and
22536 @w{@code{arceb-linux-uclibc}} targets when profiling is requested.
22540 The following options control the semantics of generated code:
22542 @c semantically relevant code generation options
22544 @opindex mlong-calls
22546 Generate calls as register indirect calls, thus providing access
22547 to the full 32-bit address range.
22549 @opindex mmedium-calls
22550 @item -mmedium-calls
22551 Don't use less than 25-bit addressing range for calls, which is the
22552 offset available for an unconditional branch-and-link
22553 instruction. Conditional execution of function calls is suppressed, to
22554 allow use of the 25-bit range, rather than the 21-bit range with
22555 conditional branch-and-link. This is the default for tool chains built
22556 for @w{@code{arc-linux-uclibc}} and @w{@code{arceb-linux-uclibc}} targets.
22560 Put definitions of externally-visible data in a small data section if
22561 that data is no bigger than @var{num} bytes. The default value of
22562 @var{num} is 4 for any ARC configuration, or 8 when we have double
22563 load/store operations.
22568 Do not generate sdata references. This is the default for tool chains
22569 built for @w{@code{arc-linux-uclibc}} and @w{@code{arceb-linux-uclibc}}
22572 @opindex mvolatile-cache
22573 @item -mvolatile-cache
22574 Use ordinarily cached memory accesses for volatile references. This is the
22577 @opindex mno-volatile-cache
22578 @opindex mvolatile-cache
22579 @item -mno-volatile-cache
22580 Enable cache bypass for volatile references.
22584 The following options fine tune code generation:
22585 @c code generation tuning options
22587 @opindex malign-call
22589 Does nothing. Preserved for backward compatibility.
22591 @opindex mauto-modify-reg
22592 @item -mauto-modify-reg
22593 Enable the use of pre/post modify with register displacement.
22595 @opindex mbbit-peephole
22596 @item -mbbit-peephole
22597 Does nothing. Preserved for backward compatibility.
22601 This option disables a target-specific pass in @file{arc_reorg} to
22602 generate compare-and-branch (@code{br@var{cc}}) instructions.
22603 It has no effect on
22604 generation of these instructions driven by the combiner pass.
22606 @opindex mcase-vector-pcrel
22607 @item -mcase-vector-pcrel
22608 Use PC-relative switch case tables to enable case table shortening.
22609 This is the default for @option{-Os}.
22611 @opindex mcompact-casesi
22612 @item -mcompact-casesi
22613 Enable compact @code{casesi} pattern. This is the default for @option{-Os},
22614 and only available for ARCv1 cores. This option is deprecated.
22616 @opindex mno-cond-exec
22617 @item -mno-cond-exec
22618 Disable the ARCompact-specific pass to generate conditional
22619 execution instructions.
22621 Due to delay slot scheduling and interactions between operand numbers,
22622 literal sizes, instruction lengths, and the support for conditional execution,
22623 the target-independent pass to generate conditional execution is often lacking,
22624 so the ARC port has kept a special pass around that tries to find more
22625 conditional execution generation opportunities after register allocation,
22626 branch shortening, and delay slot scheduling have been done. This pass
22627 generally, but not always, improves performance and code size, at the cost of
22628 extra compilation time, which is why there is an option to switch it off.
22629 If you have a problem with call instructions exceeding their allowable
22630 offset range because they are conditionalized, you should consider using
22631 @option{-mmedium-calls} instead.
22633 @opindex mearly-cbranchsi
22634 @item -mearly-cbranchsi
22635 Enable pre-reload use of the @code{cbranchsi} pattern.
22637 @opindex mexpand-adddi
22638 @item -mexpand-adddi
22639 Expand @code{adddi3} and @code{subdi3} at RTL generation time into
22640 @code{add.f}, @code{adc} etc. This option is deprecated.
22642 @opindex mindexed-loads
22643 @item -mindexed-loads
22644 Enable the use of indexed loads. This can be problematic because some
22645 optimizers then assume that indexed stores exist, which is not
22650 Does nothing. Preserved for backward compatibility.
22652 @opindex mlra-priority-none
22653 @item -mlra-priority-none
22654 Don't indicate any priority for target registers.
22656 @opindex mlra-priority-compact
22657 @item -mlra-priority-compact
22658 Indicate target register priority for r0..r3 / r12..r15.
22660 @opindex mlra-priority-noncompact
22661 @item -mlra-priority-noncompact
22662 Reduce target register priority for r0..r3 / r12..r15.
22664 @opindex mmillicode
22666 When optimizing for size (using @option{-Os}), prologues and epilogues
22667 that have to save or restore a large number of registers are often
22668 shortened by using call to a special function in libgcc; this is
22669 referred to as a @emph{millicode} call. As these calls can pose
22670 performance issues, and/or cause linking issues when linking in a
22671 nonstandard way, this option is provided to turn on or off millicode
22674 @opindex mcode-density-frame
22675 @item -mcode-density-frame
22676 This option enable the compiler to emit @code{enter} and @code{leave}
22677 instructions. These instructions are only valid for CPUs with
22678 code-density feature.
22680 @opindex mmixed-code
22682 Does nothing. Preserved for backward compatibility.
22686 Ths option is deprecated. Enable @samp{q} instruction alternatives.
22687 This is the default for @option{-Os}.
22691 Does nothing. Preserved for backward compatibility.
22695 Does nothing. Preserved for backward compatibility.
22697 @opindex msize-level
22698 @item -msize-level=@var{level}
22699 Fine-tune size optimization with regards to instruction lengths and alignment.
22700 The recognized values for @var{level} are:
22703 No size optimization. This level is deprecated and treated like @samp{1}.
22706 Short instructions are used opportunistically.
22709 In addition, alignment of loops and of code after barriers are dropped.
22712 In addition, optional data alignment is dropped, and the option @option{Os} is enabled.
22716 This defaults to @samp{3} when @option{-Os} is in effect. Otherwise,
22717 the behavior when this is not set is equivalent to level @samp{1}.
22720 @item -mtune=@var{cpu}
22721 Set instruction scheduling parameters for @var{cpu}, overriding any implied
22722 by @option{-mcpu=}.
22724 Supported values for @var{cpu} are
22728 Tune for ARC600 CPU.
22731 Tune for ARC601 CPU.
22734 Tune for ARC700 CPU with standard multiplier block.
22737 Tune for ARC700 CPU with XMAC block.
22740 Tune for ARC725D CPU.
22743 Tune for ARC750D CPU.
22746 Tune for ARCv2 core3 type CPU. This option enable usage of
22747 @code{dbnz} instruction.
22750 Tune for ARC4x release 3.10a.
22755 @item -mmultcost=@var{num}
22756 Cost to assume for a multiply instruction, with @samp{4} being equal to a
22757 normal instruction.
22759 @opindex munalign-prob-threshold
22760 @item -munalign-prob-threshold=@var{probability}
22761 Does nothing. Preserved for backward compatibility.
22765 The following options are maintained for backward compatibility, but
22766 are now deprecated and will be removed in a future release:
22768 @c Deprecated options
22775 @opindex mbig-endian
22779 Compile code for big-endian targets. Use of these options is now
22780 deprecated. Big-endian code is supported by configuring GCC to build
22781 @w{@code{arceb-elf32}} and @w{@code{arceb-linux-uclibc}} targets,
22782 for which big endian is the default.
22784 @opindex mlittle-endian
22786 @item -mlittle-endian
22788 Compile code for little-endian targets. Use of these options is now
22789 deprecated. Little-endian code is supported by configuring GCC to build
22790 @w{@code{arc-elf32}} and @w{@code{arc-linux-uclibc}} targets,
22791 for which little endian is the default.
22793 @opindex mbarrel_shifter
22794 @item -mbarrel_shifter
22795 Replaced by @option{-mbarrel-shifter}.
22797 @opindex mdpfp_compact
22798 @item -mdpfp_compact
22799 Replaced by @option{-mdpfp-compact}.
22801 @opindex mdpfp_fast
22803 Replaced by @option{-mdpfp-fast}.
22805 @opindex mdsp_packa
22807 Replaced by @option{-mdsp-packa}.
22811 Replaced by @option{-mea}.
22815 Replaced by @option{-mmac-24}.
22819 Replaced by @option{-mmac-d16}.
22821 @opindex mspfp_compact
22822 @item -mspfp_compact
22823 Replaced by @option{-mspfp-compact}.
22825 @opindex mspfp_fast
22827 Replaced by @option{-mspfp-fast}.
22830 @item -mtune=@var{cpu}
22831 Values @samp{arc600}, @samp{arc601}, @samp{arc700} and
22832 @samp{arc700-xmac} for @var{cpu} are replaced by @samp{ARC600},
22833 @samp{ARC601}, @samp{ARC700} and @samp{ARC700-xmac} respectively.
22836 @item -multcost=@var{num}
22837 Replaced by @option{-mmultcost}.
22842 @subsection ARM Options
22843 @cindex ARM options
22845 These @samp{-m} options are defined for the ARM port:
22849 @item -mabi=@var{name}
22850 Generate code for the specified ABI@. Permissible values are: @samp{apcs-gnu},
22851 @samp{atpcs}, @samp{aapcs}, @samp{aapcs-linux} and @samp{iwmmxt}.
22853 @opindex mapcs-frame
22855 Generate a stack frame that is compliant with the ARM Procedure Call
22856 Standard for all functions, even if this is not strictly necessary for
22857 correct execution of the code. Specifying @option{-fomit-frame-pointer}
22858 with this option causes the stack frames not to be generated for
22859 leaf functions. The default is @option{-mno-apcs-frame}.
22860 This option is deprecated.
22864 This is a synonym for @option{-mapcs-frame} and is deprecated.
22867 @c not currently implemented
22868 @opindex mapcs-stack-check
22869 @item -mapcs-stack-check
22870 Generate code to check the amount of stack space available upon entry to
22871 every function (that actually uses some stack space). If there is
22872 insufficient space available then either the function
22873 @code{__rt_stkovf_split_small} or @code{__rt_stkovf_split_big} is
22874 called, depending upon the amount of stack space required. The runtime
22875 system is required to provide these functions. The default is
22876 @option{-mno-apcs-stack-check}, since this produces smaller code.
22878 @c not currently implemented
22879 @opindex mapcs-reentrant
22880 @item -mapcs-reentrant
22881 Generate reentrant, position-independent code. The default is
22882 @option{-mno-apcs-reentrant}.
22885 @opindex mthumb-interwork
22886 @item -mthumb-interwork
22887 Generate code that supports calling between the ARM and Thumb
22888 instruction sets. Without this option, on pre-v5 architectures, the
22889 two instruction sets cannot be reliably used inside one program. The
22890 default is @option{-mno-thumb-interwork}, since slightly larger code
22891 is generated when @option{-mthumb-interwork} is specified. In AAPCS
22892 configurations this option is meaningless.
22894 @opindex mno-sched-prolog
22895 @opindex msched-prolog
22896 @item -mno-sched-prolog
22897 Prevent the reordering of instructions in the function prologue, or the
22898 merging of those instruction with the instructions in the function's
22899 body. This means that all functions start with a recognizable set
22900 of instructions (or in fact one of a choice from a small set of
22901 different function prologues), and this information can be used to
22902 locate the start of functions inside an executable piece of code. The
22903 default is @option{-msched-prolog}.
22905 @opindex mfloat-abi
22906 @item -mfloat-abi=@var{name}
22907 Specifies which floating-point ABI to use. Permissible values
22908 are: @samp{soft}, @samp{softfp} and @samp{hard}.
22910 Specifying @samp{soft} causes GCC to generate output containing
22911 library calls for floating-point operations.
22912 @samp{softfp} allows the generation of code using hardware floating-point
22913 instructions, but still uses the soft-float calling conventions.
22914 @samp{hard} allows generation of floating-point instructions
22915 and uses FPU-specific calling conventions.
22917 The default depends on the specific target configuration. Note that
22918 the hard-float and soft-float ABIs are not link-compatible; you must
22919 compile your entire program with the same ABI, and link with a
22920 compatible set of libraries.
22922 @opindex mgeneral-regs-only
22923 @item -mgeneral-regs-only
22924 Generate code which uses only the general-purpose registers. This will prevent
22925 the compiler from using floating-point and Advanced SIMD registers but will not
22926 impose any restrictions on the assembler.
22928 @opindex mlittle-endian
22929 @item -mlittle-endian
22930 Generate code for a processor running in little-endian mode. This is
22931 the default for all standard configurations.
22933 @opindex mbig-endian
22935 Generate code for a processor running in big-endian mode; the default is
22936 to compile code for a little-endian processor.
22941 When linking a big-endian image select between BE8 and BE32 formats.
22942 The option has no effect for little-endian images and is ignored. The
22943 default is dependent on the selected target architecture. For ARMv6
22944 and later architectures the default is BE8, for older architectures
22945 the default is BE32. BE32 format has been deprecated by ARM.
22948 @item -march=@var{name}@r{[}+extension@dots{}@r{]}
22949 This specifies the name of the target ARM architecture. GCC uses this
22950 name to determine what kind of instructions it can emit when generating
22951 assembly code. This option can be used in conjunction with or instead
22952 of the @option{-mcpu=} option.
22954 Permissible names are:
22956 @samp{armv5t}, @samp{armv5te},
22957 @samp{armv6}, @samp{armv6j}, @samp{armv6k}, @samp{armv6kz}, @samp{armv6t2},
22958 @samp{armv6z}, @samp{armv6zk},
22959 @samp{armv7}, @samp{armv7-a}, @samp{armv7ve},
22960 @samp{armv8-a}, @samp{armv8.1-a}, @samp{armv8.2-a}, @samp{armv8.3-a},
22967 @samp{armv6-m}, @samp{armv6s-m},
22968 @samp{armv7-m}, @samp{armv7e-m},
22969 @samp{armv8-m.base}, @samp{armv8-m.main},
22970 @samp{armv8.1-m.main},
22971 @samp{iwmmxt} and @samp{iwmmxt2}.
22973 Additionally, the following architectures, which lack support for the
22974 Thumb execution state, are recognized but support is deprecated: @samp{armv4}.
22976 Many of the architectures support extensions. These can be added by
22977 appending @samp{+@var{extension}} to the architecture name. Extension
22978 options are processed in order and capabilities accumulate. An extension
22979 will also enable any necessary base extensions
22980 upon which it depends. For example, the @samp{+crypto} extension
22981 will always enable the @samp{+simd} extension. The exception to the
22982 additive construction is for extensions that are prefixed with
22983 @samp{+no@dots{}}: these extensions disable the specified option and
22984 any other extensions that may depend on the presence of that
22987 For example, @samp{-march=armv7-a+simd+nofp+vfpv4} is equivalent to
22988 writing @samp{-march=armv7-a+vfpv4} since the @samp{+simd} option is
22989 entirely disabled by the @samp{+nofp} option that follows it.
22991 Most extension names are generically named, but have an effect that is
22992 dependent upon the architecture to which it is applied. For example,
22993 the @samp{+simd} option can be applied to both @samp{armv7-a} and
22994 @samp{armv8-a} architectures, but will enable the original ARMv7-A
22995 Advanced SIMD (Neon) extensions for @samp{armv7-a} and the ARMv8-A
22996 variant for @samp{armv8-a}.
22998 The table below lists the supported extensions for each architecture.
22999 Architectures not mentioned do not support any extensions.
23012 The VFPv2 floating-point instructions. The extension @samp{+vfpv2} can be
23013 used as an alias for this extension.
23016 Disable the floating-point instructions.
23020 The common subset of the ARMv7-A, ARMv7-R and ARMv7-M architectures.
23023 The VFPv3 floating-point instructions, with 16 double-precision
23024 registers. The extension @samp{+vfpv3-d16} can be used as an alias
23025 for this extension. Note that floating-point is not supported by the
23026 base ARMv7-M architecture, but is compatible with both the ARMv7-A and
23027 ARMv7-R architectures.
23030 Disable the floating-point instructions.
23036 The multiprocessing extension.
23039 The security extension.
23042 The VFPv3 floating-point instructions, with 16 double-precision
23043 registers. The extension @samp{+vfpv3-d16} can be used as an alias
23044 for this extension.
23047 The Advanced SIMD (Neon) v1 and the VFPv3 floating-point instructions.
23048 The extensions @samp{+neon} and @samp{+neon-vfpv3} can be used as aliases
23049 for this extension.
23052 The VFPv3 floating-point instructions, with 32 double-precision
23055 @item +vfpv3-d16-fp16
23056 The VFPv3 floating-point instructions, with 16 double-precision
23057 registers and the half-precision floating-point conversion operations.
23060 The VFPv3 floating-point instructions, with 32 double-precision
23061 registers and the half-precision floating-point conversion operations.
23064 The VFPv4 floating-point instructions, with 16 double-precision
23068 The VFPv4 floating-point instructions, with 32 double-precision
23072 The Advanced SIMD (Neon) v1 and the VFPv3 floating-point instructions, with
23073 the half-precision floating-point conversion operations.
23076 The Advanced SIMD (Neon) v2 and the VFPv4 floating-point instructions.
23079 Disable the Advanced SIMD instructions (does not disable floating point).
23082 Disable the floating-point and Advanced SIMD instructions.
23086 The extended version of the ARMv7-A architecture with support for
23090 The VFPv4 floating-point instructions, with 16 double-precision registers.
23091 The extension @samp{+vfpv4-d16} can be used as an alias for this extension.
23094 The Advanced SIMD (Neon) v2 and the VFPv4 floating-point instructions. The
23095 extension @samp{+neon-vfpv4} can be used as an alias for this extension.
23098 The VFPv3 floating-point instructions, with 16 double-precision
23102 The VFPv3 floating-point instructions, with 32 double-precision
23105 @item +vfpv3-d16-fp16
23106 The VFPv3 floating-point instructions, with 16 double-precision
23107 registers and the half-precision floating-point conversion operations.
23110 The VFPv3 floating-point instructions, with 32 double-precision
23111 registers and the half-precision floating-point conversion operations.
23114 The VFPv4 floating-point instructions, with 16 double-precision
23118 The VFPv4 floating-point instructions, with 32 double-precision
23122 The Advanced SIMD (Neon) v1 and the VFPv3 floating-point instructions.
23123 The extension @samp{+neon-vfpv3} can be used as an alias for this extension.
23126 The Advanced SIMD (Neon) v1 and the VFPv3 floating-point instructions, with
23127 the half-precision floating-point conversion operations.
23130 Disable the Advanced SIMD instructions (does not disable floating point).
23133 Disable the floating-point and Advanced SIMD instructions.
23139 The Cyclic Redundancy Check (CRC) instructions.
23141 The ARMv8-A Advanced SIMD and floating-point instructions.
23143 The cryptographic instructions.
23145 Disable the cryptographic instructions.
23147 Disable the floating-point, Advanced SIMD and cryptographic instructions.
23149 Speculation Barrier Instruction.
23151 Execution and Data Prediction Restriction Instructions.
23157 The ARMv8.1-A Advanced SIMD and floating-point instructions.
23160 The cryptographic instructions. This also enables the Advanced SIMD and
23161 floating-point instructions.
23164 Disable the cryptographic instructions.
23167 Disable the floating-point, Advanced SIMD and cryptographic instructions.
23170 Speculation Barrier Instruction.
23173 Execution and Data Prediction Restriction Instructions.
23180 The half-precision floating-point data processing instructions.
23181 This also enables the Advanced SIMD and floating-point instructions.
23184 The half-precision floating-point fmla extension. This also enables
23185 the half-precision floating-point extension and Advanced SIMD and
23186 floating-point instructions.
23189 The ARMv8.1-A Advanced SIMD and floating-point instructions.
23192 The cryptographic instructions. This also enables the Advanced SIMD and
23193 floating-point instructions.
23196 Enable the Dot Product extension. This also enables Advanced SIMD instructions.
23199 Disable the cryptographic extension.
23202 Disable the floating-point, Advanced SIMD and cryptographic instructions.
23205 Speculation Barrier Instruction.
23208 Execution and Data Prediction Restriction Instructions.
23211 8-bit Integer Matrix Multiply instructions.
23212 This also enables Advanced SIMD and floating-point instructions.
23215 Brain half-precision floating-point instructions.
23216 This also enables Advanced SIMD and floating-point instructions.
23222 The half-precision floating-point data processing instructions.
23223 This also enables the Advanced SIMD and floating-point instructions as well
23224 as the Dot Product extension and the half-precision floating-point fmla
23228 The ARMv8.3-A Advanced SIMD and floating-point instructions as well as the
23229 Dot Product extension.
23232 The cryptographic instructions. This also enables the Advanced SIMD and
23233 floating-point instructions as well as the Dot Product extension.
23236 Disable the cryptographic extension.
23239 Disable the floating-point, Advanced SIMD and cryptographic instructions.
23242 Speculation Barrier Instruction.
23245 Execution and Data Prediction Restriction Instructions.
23248 8-bit Integer Matrix Multiply instructions.
23249 This also enables Advanced SIMD and floating-point instructions.
23252 Brain half-precision floating-point instructions.
23253 This also enables Advanced SIMD and floating-point instructions.
23259 The half-precision floating-point data processing instructions.
23260 This also enables the Advanced SIMD and floating-point instructions as well
23261 as the Dot Product extension and the half-precision floating-point fmla
23265 The ARMv8.3-A Advanced SIMD and floating-point instructions as well as the
23266 Dot Product extension.
23269 The cryptographic instructions. This also enables the Advanced SIMD and
23270 floating-point instructions as well as the Dot Product extension.
23273 Disable the cryptographic extension.
23276 Disable the floating-point, Advanced SIMD and cryptographic instructions.
23279 8-bit Integer Matrix Multiply instructions.
23280 This also enables Advanced SIMD and floating-point instructions.
23283 Brain half-precision floating-point instructions.
23284 This also enables Advanced SIMD and floating-point instructions.
23290 The half-precision floating-point data processing instructions.
23291 This also enables the Advanced SIMD and floating-point instructions as well
23292 as the Dot Product extension and the half-precision floating-point fmla
23296 The ARMv8.3-A Advanced SIMD and floating-point instructions as well as the
23297 Dot Product extension.
23300 The cryptographic instructions. This also enables the Advanced SIMD and
23301 floating-point instructions as well as the Dot Product extension.
23304 Disable the cryptographic extension.
23307 Disable the floating-point, Advanced SIMD and cryptographic instructions.
23310 8-bit Integer Matrix Multiply instructions.
23311 This also enables Advanced SIMD and floating-point instructions.
23314 Brain half-precision floating-point instructions.
23315 This also enables Advanced SIMD and floating-point instructions.
23321 The single-precision VFPv3 floating-point instructions. The extension
23322 @samp{+vfpv3xd} can be used as an alias for this extension.
23325 The VFPv3 floating-point instructions with 16 double-precision registers.
23326 The extension +vfpv3-d16 can be used as an alias for this extension.
23328 @item +vfpv3xd-d16-fp16
23329 The single-precision VFPv3 floating-point instructions with 16 double-precision
23330 registers and the half-precision floating-point conversion operations.
23332 @item +vfpv3-d16-fp16
23333 The VFPv3 floating-point instructions with 16 double-precision
23334 registers and the half-precision floating-point conversion operations.
23337 Disable the floating-point extension.
23340 The ARM-state integer division instructions.
23343 Disable the ARM-state integer division extension.
23349 The single-precision VFPv4 floating-point instructions.
23352 The single-precision FPv5 floating-point instructions.
23355 The single- and double-precision FPv5 floating-point instructions.
23358 Disable the floating-point extensions.
23361 @item armv8.1-m.main
23365 The DSP instructions.
23368 The M-Profile Vector Extension (MVE) integer instructions.
23371 The M-Profile Vector Extension (MVE) integer and single precision
23372 floating-point instructions.
23375 The single-precision floating-point instructions.
23378 The single- and double-precision floating-point instructions.
23381 Disable the floating-point extension.
23383 @item +cdecp0, +cdecp1, ... , +cdecp7
23384 Enable the Custom Datapath Extension (CDE) on selected coprocessors according
23385 to the numbers given in the options in the range 0 to 7.
23388 Enable the Pointer Authentication and Branch Target Identification Extension.
23394 The DSP instructions.
23397 Disable the DSP extension.
23400 The single-precision floating-point instructions.
23403 The single- and double-precision floating-point instructions.
23406 Disable the floating-point extension.
23408 @item +cdecp0, +cdecp1, ... , +cdecp7
23409 Enable the Custom Datapath Extension (CDE) on selected coprocessors according
23410 to the numbers given in the options in the range 0 to 7.
23416 The Cyclic Redundancy Check (CRC) instructions.
23418 The single-precision FPv5 floating-point instructions.
23420 The ARMv8-A Advanced SIMD and floating-point instructions.
23422 The cryptographic instructions.
23424 Disable the cryptographic instructions.
23426 Disable the floating-point, Advanced SIMD and cryptographic instructions.
23431 @option{-march=native} causes the compiler to auto-detect the architecture
23432 of the build computer. At present, this feature is only supported on
23433 GNU/Linux, and not all architectures are recognized. If the auto-detect
23434 is unsuccessful the option has no effect.
23436 @option{-march=unset} causes the compiler to ignore any
23437 @option{-march=@dots{}} options that appear earlier on the command line
23438 and behave as if the option was never passed. This is useful to avoid
23439 warnings about conflicting CPU and architecture options when the two
23440 produce different architecture specifications.
23443 @item -mtune=@var{name}
23444 This option specifies the name of the target ARM processor for
23445 which GCC should tune the performance of the code.
23446 For some ARM implementations better performance can be obtained by using
23448 Permissible names are: @samp{arm7tdmi}, @samp{arm7tdmi-s}, @samp{arm710t},
23449 @samp{arm720t}, @samp{arm740t}, @samp{strongarm}, @samp{strongarm110},
23450 @samp{strongarm1100}, @samp{strongarm1110}, @samp{arm8}, @samp{arm810},
23451 @samp{arm9}, @samp{arm9e}, @samp{arm920}, @samp{arm920t}, @samp{arm922t},
23452 @samp{arm946e-s}, @samp{arm966e-s}, @samp{arm968e-s}, @samp{arm926ej-s},
23453 @samp{arm940t}, @samp{arm9tdmi}, @samp{arm10tdmi}, @samp{arm1020t},
23454 @samp{arm1026ej-s}, @samp{arm10e}, @samp{arm1020e}, @samp{arm1022e},
23455 @samp{arm1136j-s}, @samp{arm1136jf-s}, @samp{mpcore}, @samp{mpcorenovfp},
23456 @samp{arm1156t2-s}, @samp{arm1156t2f-s}, @samp{arm1176jz-s}, @samp{arm1176jzf-s},
23457 @samp{generic-armv7-a}, @samp{cortex-a5}, @samp{cortex-a7}, @samp{cortex-a8},
23458 @samp{cortex-a9}, @samp{cortex-a12}, @samp{cortex-a15}, @samp{cortex-a17},
23459 @samp{cortex-a32}, @samp{cortex-a35}, @samp{cortex-a53}, @samp{cortex-a55},
23460 @samp{cortex-a57}, @samp{cortex-a72}, @samp{cortex-a73}, @samp{cortex-a75},
23461 @samp{cortex-a76}, @samp{cortex-a76ae}, @samp{cortex-a77},
23462 @samp{cortex-a78}, @samp{cortex-a78ae}, @samp{cortex-a78c}, @samp{cortex-a710},
23463 @samp{ares}, @samp{cortex-r4}, @samp{cortex-r4f}, @samp{cortex-r5},
23464 @samp{cortex-r7}, @samp{cortex-r8}, @samp{cortex-r52}, @samp{cortex-r52plus},
23465 @samp{cortex-m0}, @samp{cortex-m0plus}, @samp{cortex-m1}, @samp{cortex-m3},
23466 @samp{cortex-m4}, @samp{cortex-m7}, @samp{cortex-m23}, @samp{cortex-m33},
23467 @samp{cortex-m35p}, @samp{cortex-m52}, @samp{cortex-m55}, @samp{cortex-m85}, @samp{cortex-x1},
23468 @samp{cortex-x1c}, @samp{cortex-m1.small-multiply}, @samp{cortex-m0.small-multiply},
23469 @samp{cortex-m0plus.small-multiply}, @samp{exynos-m1}, @samp{marvell-pj4},
23470 @samp{neoverse-n1}, @samp{neoverse-n2}, @samp{neoverse-v1}, @samp{xscale},
23471 @samp{iwmmxt}, @samp{iwmmxt2}, @samp{ep9312}, @samp{fa526}, @samp{fa626},
23472 @samp{fa606te}, @samp{fa626te}, @samp{fmp626}, @samp{fa726te}, @samp{star-mc1},
23475 Additionally, this option can specify that GCC should tune the performance
23476 of the code for a big.LITTLE system. Permissible names are:
23477 @samp{cortex-a15.cortex-a7}, @samp{cortex-a17.cortex-a7},
23478 @samp{cortex-a57.cortex-a53}, @samp{cortex-a72.cortex-a53},
23479 @samp{cortex-a72.cortex-a35}, @samp{cortex-a73.cortex-a53},
23480 @samp{cortex-a75.cortex-a55}, @samp{cortex-a76.cortex-a55}.
23482 @option{-mtune=generic-@var{arch}} specifies that GCC should tune the
23483 performance for a blend of processors within architecture @var{arch}.
23484 The aim is to generate code that run well on the current most popular
23485 processors, balancing between optimizations that benefit some CPUs in the
23486 range, and avoiding performance pitfalls of other CPUs. The effects of
23487 this option may change in future GCC versions as CPU models come and go.
23489 @option{-mtune} permits the same extension options as @option{-mcpu}, but
23490 the extension options do not affect the tuning of the generated code.
23492 @option{-mtune=native} causes the compiler to auto-detect the CPU
23493 of the build computer. At present, this feature is only supported on
23494 GNU/Linux, and not all architectures are recognized. If the auto-detect is
23495 unsuccessful the option has no effect.
23498 @item -mcpu=@var{name}@r{[}+extension@dots{}@r{]}
23499 This specifies the name of the target ARM processor. GCC uses this name
23500 to derive the name of the target ARM architecture (as if specified
23501 by @option{-march}) and the ARM processor type for which to tune for
23502 performance (as if specified by @option{-mtune}). Where this option
23503 is used in conjunction with @option{-march} or @option{-mtune},
23504 those options take precedence over the appropriate part of this option.
23506 Many of the supported CPUs implement optional architectural
23507 extensions. Where this is so the architectural extensions are
23508 normally enabled by default. If implementations that lack the
23509 extension exist, then the extension syntax can be used to disable
23510 those extensions that have been omitted. For floating-point and
23511 Advanced SIMD (Neon) instructions, the settings of the options
23512 @option{-mfloat-abi} and @option{-mfpu} must also be considered:
23513 floating-point and Advanced SIMD instructions will only be used if
23514 @option{-mfloat-abi} is not set to @samp{soft}; and any setting of
23515 @option{-mfpu} other than @samp{auto} will override the available
23516 floating-point and SIMD extension instructions.
23518 For example, @samp{cortex-a9} can be found in three major
23519 configurations: integer only, with just a floating-point unit or with
23520 floating-point and Advanced SIMD. The default is to enable all the
23521 instructions, but the extensions @samp{+nosimd} and @samp{+nofp} can
23522 be used to disable just the SIMD or both the SIMD and floating-point
23523 instructions respectively.
23525 Permissible names for this option are the same as those for
23528 The following extension options are common to the listed CPUs:
23532 Disable the DSP instructions on @samp{cortex-m33}, @samp{cortex-m35p},
23533 @samp{cortex-m52}, @samp{cortex-m55} and @samp{cortex-m85}.
23534 Also disable the M-Profile Vector Extension (MVE) integer and
23535 single precision floating-point instructions on
23536 @samp{cortex-m52}, @samp{cortex-m55} and @samp{cortex-m85}.
23539 Disable the Pointer Authentication and Branch Target Identification Extension
23540 on @samp{cortex-m52} and @samp{cortex-m85}.
23543 Disable the M-Profile Vector Extension (MVE) integer and single precision
23544 floating-point instructions on @samp{cortex-m52}, @samp{cortex-m55} and @samp{cortex-m85}.
23547 Disable the M-Profile Vector Extension (MVE) single precision floating-point
23548 instructions on @samp{cortex-m52}, @samp{cortex-m55} and @samp{cortex-m85}.
23550 @item +cdecp0, +cdecp1, ... , +cdecp7
23551 Enable the Custom Datapath Extension (CDE) on selected coprocessors according
23552 to the numbers given in the options in the range 0 to 7 on @samp{cortex-m52} and @samp{cortex-m55}.
23555 Disables the floating-point instructions on @samp{arm9e},
23556 @samp{arm946e-s}, @samp{arm966e-s}, @samp{arm968e-s}, @samp{arm10e},
23557 @samp{arm1020e}, @samp{arm1022e}, @samp{arm926ej-s},
23558 @samp{arm1026ej-s}, @samp{cortex-r5}, @samp{cortex-r7}, @samp{cortex-r8},
23559 @samp{cortex-m4}, @samp{cortex-m7}, @samp{cortex-m33}, @samp{cortex-m35p},
23560 @samp{cortex-m52}, @samp{cortex-m55} and @samp{cortex-m85}.
23561 Disables the floating-point and SIMD instructions on
23562 @samp{generic-armv7-a}, @samp{cortex-a5}, @samp{cortex-a7},
23563 @samp{cortex-a8}, @samp{cortex-a9}, @samp{cortex-a12},
23564 @samp{cortex-a15}, @samp{cortex-a17}, @samp{cortex-a15.cortex-a7},
23565 @samp{cortex-a17.cortex-a7}, @samp{cortex-a32}, @samp{cortex-a35},
23566 @samp{cortex-a53} and @samp{cortex-a55}.
23569 Disables the double-precision component of the floating-point instructions
23570 on @samp{cortex-r5}, @samp{cortex-r7}, @samp{cortex-r8}, @samp{cortex-r52},
23571 @samp{cortex-r52plus} and @samp{cortex-m7}.
23574 Disables the SIMD (but not floating-point) instructions on
23575 @samp{generic-armv7-a}, @samp{cortex-a5}, @samp{cortex-a7}
23576 and @samp{cortex-a9}.
23579 Enables the cryptographic instructions on @samp{cortex-a32},
23580 @samp{cortex-a35}, @samp{cortex-a53}, @samp{cortex-a55}, @samp{cortex-a57},
23581 @samp{cortex-a72}, @samp{cortex-a73}, @samp{cortex-a75}, @samp{exynos-m1},
23582 @samp{xgene1}, @samp{cortex-a57.cortex-a53}, @samp{cortex-a72.cortex-a53},
23583 @samp{cortex-a73.cortex-a35}, @samp{cortex-a73.cortex-a53} and
23584 @samp{cortex-a75.cortex-a55}.
23587 Additionally the @samp{generic-armv7-a} pseudo target defaults to
23588 VFPv3 with 16 double-precision registers. It supports the following
23589 extension options: @samp{mp}, @samp{sec}, @samp{vfpv3-d16},
23590 @samp{vfpv3}, @samp{vfpv3-d16-fp16}, @samp{vfpv3-fp16},
23591 @samp{vfpv4-d16}, @samp{vfpv4}, @samp{neon}, @samp{neon-vfpv3},
23592 @samp{neon-fp16}, @samp{neon-vfpv4}. The meanings are the same as for
23593 the extensions to @option{-march=armv7-a}.
23595 @option{-mcpu=generic-@var{arch}} is also permissible, and is
23596 equivalent to @option{-march=@var{arch} -mtune=generic-@var{arch}}.
23597 See @option{-mtune} for more information.
23599 @option{-mcpu=native} causes the compiler to auto-detect the CPU
23600 of the build computer. At present, this feature is only supported on
23601 GNU/Linux, and not all architectures are recognized. If the auto-detect
23602 is unsuccessful the option has no effect.
23604 @option{-mcpu=unset} causes the compiler to ignore any
23605 @option{-mcpu=@dots{}} options that appear earlier on the command line
23606 and behave as if the option was never passed. This is useful to avoid
23607 warnings about conflicting CPU and architecture options when the two
23608 produce different architecture specifications.
23611 @item -mfpu=@var{name}
23612 This specifies what floating-point hardware (or hardware emulation) is
23613 available on the target. Permissible names are: @samp{auto}, @samp{vfpv2},
23615 @samp{vfpv3-fp16}, @samp{vfpv3-d16}, @samp{vfpv3-d16-fp16}, @samp{vfpv3xd},
23616 @samp{vfpv3xd-fp16}, @samp{neon-vfpv3}, @samp{neon-fp16}, @samp{vfpv4},
23617 @samp{vfpv4-d16}, @samp{fpv4-sp-d16}, @samp{neon-vfpv4},
23618 @samp{fpv5-d16}, @samp{fpv5-sp-d16},
23619 @samp{fp-armv8}, @samp{neon-fp-armv8} and @samp{crypto-neon-fp-armv8}.
23620 Note that @samp{neon} is an alias for @samp{neon-vfpv3} and @samp{vfp}
23621 is an alias for @samp{vfpv2}.
23623 The setting @samp{auto} is the default and is special. It causes the
23624 compiler to select the floating-point and Advanced SIMD instructions
23625 based on the settings of @option{-mcpu} and @option{-march}.
23627 If the selected floating-point hardware includes the NEON extension
23628 (e.g.@: @option{-mfpu=neon}), note that floating-point
23629 operations are not generated by GCC's auto-vectorization pass unless
23630 @option{-funsafe-math-optimizations} is also specified. This is
23631 because NEON hardware does not fully implement the IEEE 754 standard for
23632 floating-point arithmetic (in particular denormal values are treated as
23633 zero), so the use of NEON instructions may lead to a loss of precision.
23635 You can also set the fpu name at function level by using the @code{target("fpu=")} function attributes (@pxref{ARM Function Attributes}) or pragmas (@pxref{Function Specific Option Pragmas}).
23637 @opindex mfp16-format
23638 @item -mfp16-format=@var{name}
23639 Specify the format of the @code{__fp16} half-precision floating-point type.
23640 Permissible names are @samp{none}, @samp{ieee}, and @samp{alternative};
23641 the default is @samp{none}, in which case the @code{__fp16} type is not
23642 defined. @xref{Half-Precision}, for more information.
23644 @opindex mstructure-size-boundary
23645 @item -mstructure-size-boundary=@var{n}
23646 The sizes of all structures and unions are rounded up to a multiple
23647 of the number of bits set by this option. Permissible values are 8, 32
23648 and 64. The default value varies for different toolchains. For the COFF
23649 targeted toolchain the default value is 8. A value of 64 is only allowed
23650 if the underlying ABI supports it.
23652 Specifying a larger number can produce faster, more efficient code, but
23653 can also increase the size of the program. Different values are potentially
23654 incompatible. Code compiled with one value cannot necessarily expect to
23655 work with code or libraries compiled with another value, if they exchange
23656 information using structures or unions.
23658 This option is deprecated.
23660 @opindex mabort-on-noreturn
23661 @item -mabort-on-noreturn
23662 Generate a call to the function @code{abort} at the end of a
23663 @code{noreturn} function. It is executed if the function tries to
23666 @opindex mlong-calls
23667 @opindex mno-long-calls
23669 @itemx -mno-long-calls
23670 Tells the compiler to perform function calls by first loading the
23671 address of the function into a register and then performing a subroutine
23672 call on this register. This switch is needed if the target function
23673 lies outside of the 64-megabyte addressing range of the offset-based
23674 version of subroutine call instruction.
23676 Even if this switch is enabled, not all function calls are turned
23677 into long calls. The heuristic is that static functions, functions
23678 that have the @code{short_call} attribute, functions that are inside
23679 the scope of a @code{#pragma no_long_calls} directive, and functions whose
23680 definitions have already been compiled within the current compilation
23681 unit are not turned into long calls. The exceptions to this rule are
23682 that weak function definitions, functions with the @code{long_call}
23683 attribute or the @code{section} attribute, and functions that are within
23684 the scope of a @code{#pragma long_calls} directive are always
23685 turned into long calls.
23687 This feature is not enabled by default. Specifying
23688 @option{-mno-long-calls} restores the default behavior, as does
23689 placing the function calls within the scope of a @code{#pragma
23690 long_calls_off} directive. Note these switches have no effect on how
23691 the compiler generates code to handle function calls via function
23694 @opindex msingle-pic-base
23695 @item -msingle-pic-base
23696 Treat the register used for PIC addressing as read-only, rather than
23697 loading it in the prologue for each function. The runtime system is
23698 responsible for initializing this register with an appropriate value
23699 before execution begins.
23701 @opindex mpic-register
23702 @item -mpic-register=@var{reg}
23703 Specify the register to be used for PIC addressing.
23704 For standard PIC base case, the default is any suitable register
23705 determined by compiler. For single PIC base case, the default is
23706 @samp{R9} if target is EABI based or stack-checking is enabled,
23707 otherwise the default is @samp{R10}.
23709 @opindex mpic-data-is-text-relative
23710 @item -mpic-data-is-text-relative
23711 Assume that the displacement between the text and data segments is fixed
23712 at static link time. This permits using PC-relative addressing
23713 operations to access data known to be in the data segment. For
23714 non-VxWorks RTP targets, this option is enabled by default. When
23715 disabled on such targets, it will enable @option{-msingle-pic-base} by
23718 @opindex mpoke-function-name
23719 @item -mpoke-function-name
23720 Write the name of each function into the text section, directly
23721 preceding the function prologue. The generated code is similar to this:
23725 .ascii "arm_poke_function_name", 0
23728 .word 0xff000000 + (t1 - t0)
23729 arm_poke_function_name
23731 stmfd sp!, @{fp, ip, lr, pc@}
23735 When performing a stack backtrace, code can inspect the value of
23736 @code{pc} stored at @code{fp + 0}. If the trace function then looks at
23737 location @code{pc - 12} and the top 8 bits are set, then we know that
23738 there is a function name embedded immediately preceding this location
23739 and has length @code{((pc[-3]) & 0xff000000)}.
23746 Select between generating code that executes in ARM and Thumb
23747 states. The default for most configurations is to generate code
23748 that executes in ARM state, but the default can be changed by
23749 configuring GCC with the @option{--with-mode=}@var{state}
23752 You can also override the ARM and Thumb mode for each function
23753 by using the @code{target("thumb")} and @code{target("arm")} function attributes
23754 (@pxref{ARM Function Attributes}) or pragmas (@pxref{Function Specific Option Pragmas}).
23756 @opindex mflip-thumb
23758 Switch ARM/Thumb modes on alternating functions.
23759 This option is provided for regression testing of mixed Thumb/ARM code
23760 generation, and is not intended for ordinary use in compiling code.
23762 @opindex mtpcs-frame
23764 Generate a stack frame that is compliant with the Thumb Procedure Call
23765 Standard for all non-leaf functions. (A leaf function is one that does
23766 not call any other functions.) The default is @option{-mno-tpcs-frame}.
23768 @opindex mtpcs-leaf-frame
23769 @item -mtpcs-leaf-frame
23770 Generate a stack frame that is compliant with the Thumb Procedure Call
23771 Standard for all leaf functions. (A leaf function is one that does
23772 not call any other functions.) The default is @option{-mno-apcs-leaf-frame}.
23774 @opindex mcallee-super-interworking
23775 @item -mcallee-super-interworking
23776 Gives all externally visible functions in the file being compiled an ARM
23777 instruction set header which switches to Thumb mode before executing the
23778 rest of the function. This allows these functions to be called from
23779 non-interworking code. This option is not valid in AAPCS configurations
23780 because interworking is enabled by default.
23782 @opindex mcaller-super-interworking
23783 @item -mcaller-super-interworking
23784 Allows calls via function pointers (including virtual functions) to
23785 execute correctly regardless of whether the target code has been
23786 compiled for interworking or not. There is a small overhead in the cost
23787 of executing a function pointer if this option is enabled. This option
23788 is not valid in AAPCS configurations because interworking is enabled
23792 @item -mtp=@var{name}
23793 Specify the access model for the thread local storage pointer. The model
23794 @samp{soft} generates calls to @code{__aeabi_read_tp}. Other accepted
23795 models are @samp{tpidrurw}, @samp{tpidruro} and @samp{tpidrprw} which fetch
23796 the thread pointer from the corresponding system register directly
23797 (supported from the arm6k architecture and later). These system registers
23798 are accessed through the CP15 co-processor interface and the argument
23799 @samp{cp15} is also accepted as a convenience alias of @samp{tpidruro}.
23800 The argument @samp{auto} uses the best available method for the selected
23801 processor. The default setting is @samp{auto}.
23803 @opindex mtls-dialect
23804 @item -mtls-dialect=@var{dialect}
23805 Specify the dialect to use for accessing thread local storage. Two
23806 @var{dialect}s are supported---@samp{gnu} and @samp{gnu2}. The
23807 @samp{gnu} dialect selects the original GNU scheme for supporting
23808 local and global dynamic TLS models. The @samp{gnu2} dialect
23809 selects the GNU descriptor scheme, which provides better performance
23810 for shared libraries. The GNU descriptor scheme is compatible with
23811 the original scheme, but does require new assembler, linker and
23812 library support. Initial and local exec TLS models are unaffected by
23813 this option and always use the original scheme.
23815 @opindex mword-relocations
23816 @item -mword-relocations
23817 Only generate absolute relocations on word-sized values (i.e.@: R_ARM_ABS32).
23818 This is enabled by default on targets (uClinux, SymbianOS) where the runtime
23819 loader imposes this restriction, and when @option{-fpic} or @option{-fPIC}
23820 is specified. This option conflicts with @option{-mslow-flash-data}.
23822 @opindex mfix-cortex-m3-ldrd
23823 @item -mfix-cortex-m3-ldrd
23824 Some Cortex-M3 cores can cause data corruption when @code{ldrd} instructions
23825 with overlapping destination and base registers are used. This option avoids
23826 generating these instructions. This option is enabled by default when
23827 @option{-mcpu=cortex-m3} is specified.
23829 @item -mfix-cortex-a57-aes-1742098
23830 @itemx -mno-fix-cortex-a57-aes-1742098
23831 @itemx -mfix-cortex-a72-aes-1655431
23832 @itemx -mno-fix-cortex-a72-aes-1655431
23833 Enable (disable) mitigation for an erratum on Cortex-A57 and
23834 Cortex-A72 that affects the AES cryptographic instructions. This
23835 option is enabled by default when either @option{-mcpu=cortex-a57} or
23836 @option{-mcpu=cortex-a72} is specified.
23838 @opindex munaligned-access
23839 @opindex mno-unaligned-access
23840 @item -munaligned-access
23841 @itemx -mno-unaligned-access
23842 Enables (or disables) reading and writing of 16- and 32- bit values
23843 from addresses that are not 16- or 32- bit aligned. By default
23844 unaligned access is disabled for all pre-ARMv6, all ARMv6-M and for
23845 ARMv8-M Baseline architectures, and enabled for all other
23846 architectures. If unaligned access is not enabled then words in packed
23847 data structures are accessed a byte at a time.
23849 The ARM attribute @code{Tag_CPU_unaligned_access} is set in the
23850 generated object file to either true or false, depending upon the
23851 setting of this option. If unaligned access is enabled then the
23852 preprocessor symbol @code{__ARM_FEATURE_UNALIGNED} is also
23855 @opindex mneon-for-64bits
23856 @item -mneon-for-64bits
23857 This option is deprecated and has no effect.
23859 @opindex mslow-flash-data
23860 @item -mslow-flash-data
23861 Assume loading data from flash is slower than fetching instruction.
23862 Therefore literal load is minimized for better performance.
23863 This option is only supported when compiling for ARMv7 M-profile and
23864 off by default. It conflicts with @option{-mword-relocations}.
23866 @opindex masm-syntax-unified
23867 @item -masm-syntax-unified
23868 Assume inline assembler is using unified asm syntax. The default is
23869 currently off which implies divided syntax. This option has no impact
23870 on Thumb2. However, this may change in future releases of GCC.
23871 Divided syntax should be considered deprecated.
23873 @opindex mrestrict-it
23874 @item -mrestrict-it
23875 Restricts generation of IT blocks to conform to the rules of ARMv8-A.
23876 IT blocks can only contain a single 16-bit instruction from a select
23877 set of instructions. This option is on by default for ARMv8-A Thumb mode.
23879 @opindex mprint-tune-info
23880 @item -mprint-tune-info
23881 Print CPU tuning information as comment in assembler file. This is
23882 an option used only for regression testing of the compiler and not
23883 intended for ordinary use in compiling code. This option is disabled
23886 @opindex mverbose-cost-dump
23887 @item -mverbose-cost-dump
23888 Enable verbose cost model dumping in the debug dump files. This option is
23889 provided for use in debugging the compiler.
23891 @opindex mpure-code
23893 Do not allow constant data to be placed in code sections.
23894 Additionally, when compiling for ELF object format give all text sections the
23895 ELF processor-specific section attribute @code{SHF_ARM_PURECODE}. This option
23896 is only available when generating non-pic code for M-profile targets.
23900 Generate secure code as per the "ARMv8-M Security Extensions: Requirements on
23901 Development Tools Engineering Specification", which can be found on
23902 @url{https://developer.arm.com/documentation/ecm0359818/latest/}.
23904 @opindex mfix-cmse-cve-2021-35465
23905 @item -mfix-cmse-cve-2021-35465
23906 Mitigate against a potential security issue with the @code{VLLDM} instruction
23907 in some M-profile devices when using CMSE (CVE-2021-365465). This option is
23908 enabled by default when the option @option{-mcpu=} is used with
23909 @code{cortex-m33}, @code{cortex-m35p}, @code{cortex-m52}, @code{cortex-m55},
23910 @code{cortex-m85} or @code{star-mc1}. The option @option{-mno-fix-cmse-cve-2021-35465}
23911 can be used to disable the mitigation.
23913 @opindex mstack-protector-guard
23914 @opindex mstack-protector-guard-offset
23915 @item -mstack-protector-guard=@var{guard}
23916 @itemx -mstack-protector-guard-offset=@var{offset}
23917 Generate stack protection code using canary at @var{guard}. Supported
23918 locations are @samp{global} for a global canary or @samp{tls} for a
23919 canary accessible via the TLS register. The option
23920 @option{-mstack-protector-guard-offset=} is for use with
23921 @option{-fstack-protector-guard=tls} and not for use in user-land code.
23927 Select the FDPIC ABI, which uses 64-bit function descriptors to
23928 represent pointers to functions. When the compiler is configured for
23929 @code{arm-*-uclinuxfdpiceabi} targets, this option is on by default
23930 and implies @option{-fPIE} if none of the PIC/PIE-related options is
23931 provided. On other targets, it only enables the FDPIC-specific code
23932 generation features, and the user should explicitly provide the
23933 PIC/PIE-related options as needed.
23935 Note that static linking is not supported because it would still
23936 involve the dynamic linker when the program self-relocates. If such
23937 behavior is acceptable, use -static and -Wl,-dynamic-linker options.
23939 The opposite @option{-mno-fdpic} option is useful (and required) to
23940 build the Linux kernel using the same (@code{arm-*-uclinuxfdpiceabi})
23941 toolchain as the one used to build the userland programs.
23943 @opindex mbranch-protection
23944 @item -mbranch-protection=@var{none}|@var{standard}|@var{pac-ret}[+@var{leaf}][+@var{bti}]|@var{bti}[+@var{pac-ret}[+@var{leaf}]]
23945 Enable branch protection features (armv8.1-m.main only).
23946 @samp{none} generate code without branch protection or return address
23948 @samp{standard[+@var{leaf}]} generate code with all branch protection
23949 features enabled at their standard level.
23950 @samp{pac-ret[+@var{leaf}]} generate code with return address signing
23951 set to its standard level, which is to sign all functions that save
23952 the return address to memory.
23953 @samp{leaf} When return address signing is enabled, also sign leaf
23954 functions even if they do not write the return address to memory.
23955 +@samp{bti} Add landing-pad instructions at the permitted targets of
23956 indirect branch instructions.
23958 If the @samp{+pacbti} architecture extension is not enabled, then all
23959 branch protection and return address signing operations are
23960 constrained to use only the instructions defined in the
23961 architectural-NOP space. The generated code will remain
23962 backwards-compatible with earlier versions of the architecture, but
23963 the additional security can be enabled at run time on processors that
23964 support the @samp{PACBTI} extension.
23966 Branch target enforcement using BTI can only be enabled at runtime if
23967 all code in the application has been compiled with at least
23968 @samp{-mbranch-protection=bti}.
23970 Any setting other than @samp{none} is supported only on armv8-m.main
23973 The default is to generate code without branch protection or return
23979 @subsection AVR Options
23980 @cindex AVR Options
23982 These options are defined for AVR implementations:
23986 @item -mmcu=@var{mcu}
23987 Specify the AVR instruction set architecture (ISA) or device type.
23988 The default for this option is@tie{}@code{avr2}.
23990 The following AVR devices and ISAs are supported.
23991 @emph{Note:} A complete device support consists of
23992 startup code @code{crt@var{mcu}.o}, a device header @code{avr/io*.h},
23993 a device library @code{lib@var{mcu}.a} and a
23994 @uref{https://gcc.gnu.org/wiki/avr-gcc#spec-files,device-specs} file
23995 @code{specs-@var{mcu}}. Only the latter is provided by the compiler
23996 according the supported @code{@var{mcu}}s below. The rest is supported
23997 by @w{@uref{https://github.com/avrdudes/avr-libc/,AVR-LibC}}, or by means of
23998 @uref{https://gcc.gnu.org/wiki/avr-gcc#atpack,@code{atpack}} files
23999 from the hardware manufacturer.
24001 @c Auto-generated. Re-build when new devices are added to avr-mcus.def
24002 @c by running "make avr-mcus" in $builddir/gcc.
24003 @include avr-mmcu.texi
24008 Assume that all data in static storage can be accessed by LDS / STS
24009 instructions. This option has only an effect on reduced Tiny devices like
24010 ATtiny40. See also the @code{absdata}
24011 @ref{AVR Variable Attributes,variable attribute}.
24013 @opindex maccumulate-args
24014 @item -maccumulate-args
24015 Accumulate outgoing function arguments and acquire/release the needed
24016 stack space for outgoing function arguments once in function
24017 prologue/epilogue. Without this option, outgoing arguments are pushed
24018 before calling a function and popped afterwards.
24020 Popping the arguments after the function call can be expensive on
24021 AVR so that accumulating the stack space might lead to smaller
24022 executables because arguments need not be removed from the
24023 stack after such a function call.
24025 This option can lead to reduced code size for functions that perform
24026 several calls to functions that get their arguments on the stack like
24027 calls to printf-like functions.
24029 @opindex mbranch-cost
24030 @item -mbranch-cost=@var{cost}
24031 Set the branch costs for conditional branch instructions to
24032 @var{cost}. Reasonable values for @var{cost} are small, non-negative
24033 integers. The default branch cost is 0.
24035 @opindex mcall-prologues
24036 @item -mcall-prologues
24037 Functions prologues/epilogues are expanded as calls to appropriate
24038 subroutines. Code size is smaller.
24042 @itemx -mno-fuse-add
24043 @itemx -mfuse-add=@var{level}
24044 Optimize indirect memory accesses on reduced Tiny devices.
24045 The default uses @code{@var{level}=1} for optimizations @option{-Og}
24046 and @option{-O1}, and @code{@var{level}=2} for higher optimizations.
24047 Valid values for @var{level} are @code{0}, @code{1} and @code{2}.
24050 @opindex mlong-double
24051 @item -mdouble=@var{bits}
24052 @itemx -mlong-double=@var{bits}
24053 Set the size (in bits) of the @code{double} or @code{long double} type,
24054 respectively. Possible values for @var{bits} are 32 and 64.
24055 Whether or not a specific value for @var{bits} is allowed depends on
24056 the @code{--with-double=} and @code{--with-long-double=}
24057 @w{@uref{https://gcc.gnu.org/install/configure.html#avr,configure options}},
24058 and the same applies for the default values of the options.
24060 @opindex mgas-isr-prologues
24061 @item -mgas-isr-prologues
24062 Interrupt service routines (ISRs) may use the @code{__gcc_isr} pseudo
24063 instruction supported by GNU Binutils.
24064 If this option is on, the feature can still be disabled for individual
24065 ISRs by means of the @ref{AVR Function Attributes,,@code{no_gccisr}}
24066 function attribute. This feature is activated per default
24067 if optimization is on (but not with @option{-Og}, @pxref{Optimize Options}),
24068 and if GNU Binutils support @w{@uref{https://sourceware.org/PR21683,PR21683}}.
24072 Assume @code{int} to be 8-bit integer. This affects the sizes of all types: a
24073 @code{char} is 1 byte, an @code{int} is 1 byte, a @code{long} is 2 bytes,
24074 and @code{long long} is 4 bytes. Please note that this option does not
24075 conform to the C standards, but it results in smaller code
24078 @opindex mmain-is-OS_task
24079 @item -mmain-is-OS_task
24080 Do not save registers in @code{main}. The effect is the same like
24081 attaching attribute @ref{AVR Function Attributes,,@code{OS_task}}
24082 to @code{main}. It is activated per default if optimization is on.
24084 @opindex mno-interrupts
24085 @item -mno-interrupts
24086 Generated code is not compatible with hardware interrupts.
24087 Code size is smaller.
24091 Try to replace @code{CALL} resp.@: @code{JMP} instruction by the shorter
24092 @code{RCALL} resp.@: @code{RJMP} instruction if applicable.
24093 Setting @option{-mrelax} just adds the @option{--mlink-relax} option to
24094 the assembler's command line and the @option{--relax} option to the
24095 linker's command line.
24097 Jump relaxing is performed by the linker because jump offsets are not
24098 known before code is located. Therefore, the assembler code generated by the
24099 compiler is the same, but the instructions in the executable may
24100 differ from instructions in the assembler code.
24102 Relaxing must be turned on if linker stubs are needed, see the
24103 section on @code{EIND} and linker stubs below.
24105 @opindex mrodata-in-ram
24106 @item -mrodata-in-ram
24107 @itemx -mno-rodata-in-ram
24108 Locate the @code{.rodata} sections for read-only data in RAM resp.@:
24110 For most devices, there is no choice and this option acts rather
24113 Since v14 and for the AVR64* and AVR128* devices, @code{.rodata}
24114 is located in flash memory per default, provided the required GNU Binutils
24115 support (@w{@uref{https://sourceware.org/PR31124,PR31124}}) is available.
24116 In that case, @option{-mrodata-in-ram} can be used to return to the old
24117 layout with @code{.rodata} in RAM.
24121 Use address register @code{X} in a way proposed by the hardware. This means
24122 that @code{X} is only used in indirect, post-increment or
24123 pre-decrement addressing.
24125 Without this option, the @code{X} register may be used in the same way
24126 as @code{Y} or @code{Z} which then is emulated by additional
24128 For example, loading a value with @code{X+const} addressing with a
24129 small non-negative @code{const < 64} to a register @var{Rn} is
24133 adiw r26, const ; X += const
24134 ld @var{Rn}, X ; @var{Rn} = *X
24135 sbiw r26, const ; X -= const
24138 @opindex mtiny-stack
24140 Only change the lower 8@tie{}bits of the stack pointer.
24142 @opindex mfract-convert-truncate
24143 @item -mfract-convert-truncate
24144 Allow to use truncation instead of rounding towards zero for fractional fixed-point types.
24146 @opindex nodevicelib
24148 Don't link against AVR-LibC's device specific library @code{lib<mcu>.a}.
24150 @opindex nodevicespecs
24151 @item -nodevicespecs
24152 Don't add @option{-specs=device-specs/specs-@var{mcu}} to the compiler driver's
24153 command line. The user takes responsibility for supplying the sub-processes
24154 like compiler proper, assembler and linker with appropriate command line
24155 options. This means that the user has to supply her private device specs
24156 file by means of @option{-specs=@var{path-to-specs-file}}. There is no
24157 more need for option @option{-mmcu=@var{mcu}}.
24159 This option can also serve as a replacement for the older way of
24160 specifying custom device-specs files that needed @option{-B @var{some-path}} to point to a directory
24161 which contains a folder named @code{device-specs} which contains a specs file named
24162 @code{specs-@var{mcu}}, where @var{mcu} was specified by @option{-mmcu=@var{mcu}}.
24164 @opindex Waddr-space-convert
24165 @opindex Wno-addr-space-convert
24166 @item -Waddr-space-convert
24167 Warn about conversions between address spaces in the case where the
24168 resulting address space is not contained in the incoming address space.
24170 @opindex Wmisspelled-isr
24171 @opindex Wno-misspelled-isr
24172 @item -Wmisspelled-isr
24173 Warn if the ISR is misspelled, i.e.@: without __vector prefix.
24174 Enabled by default.
24178 @subsubsection @code{EIND} and Devices with More Than 128 Ki Bytes of Flash
24179 @cindex @code{EIND}
24180 Pointers in the implementation are 16@tie{}bits wide.
24181 The address of a function or label is represented as word address so
24182 that indirect jumps and calls can target any code address in the
24183 range of 64@tie{}Ki words.
24185 In order to facilitate indirect jump on devices with more than 128@tie{}Ki
24186 bytes of program memory space, there is a special function register called
24187 @code{EIND} that serves as most significant part of the target address
24188 when @code{EICALL} or @code{EIJMP} instructions are used.
24190 Indirect jumps and calls on these devices are handled as follows by
24191 the compiler and are subject to some limitations:
24196 The compiler never sets @code{EIND}.
24199 The compiler uses @code{EIND} implicitly in @code{EICALL}/@code{EIJMP}
24200 instructions or might read @code{EIND} directly in order to emulate an
24201 indirect call/jump by means of a @code{RET} instruction.
24204 The compiler assumes that @code{EIND} never changes during the startup
24205 code or during the application. In particular, @code{EIND} is not
24206 saved/restored in function or interrupt service routine
24210 For indirect calls to functions and computed goto, the linker
24211 generates @emph{stubs}. Stubs are jump pads sometimes also called
24212 @emph{trampolines}. Thus, the indirect call/jump jumps to such a stub.
24213 The stub contains a direct jump to the desired address.
24216 Linker relaxation must be turned on so that the linker generates
24217 the stubs correctly in all situations. See the compiler option
24218 @option{-mrelax} and the linker option @option{--relax}.
24219 There are corner cases where the linker is supposed to generate stubs
24220 but aborts without relaxation and without a helpful error message.
24223 The default linker script is arranged for code with @code{EIND = 0}.
24224 If code is supposed to work for a setup with @code{EIND != 0}, a custom
24225 linker script has to be used in order to place the sections whose
24226 name start with @code{.trampolines} into the segment where @code{EIND}
24230 The startup code from libgcc never sets @code{EIND}.
24231 Notice that startup code is a blend of code from libgcc and AVR-LibC.
24232 For the impact of AVR-LibC on @code{EIND}, see the
24233 @w{@uref{https://avrdudes.github.io/avr-libc/avr-libc-user-manual/,AVR-LibC user manual}}.
24236 It is legitimate for user-specific startup code to set up @code{EIND}
24237 early, for example by means of initialization code located in
24238 section @code{.init3}. Such code runs prior to general startup code
24239 that initializes RAM and calls constructors, but after the bit
24240 of startup code from AVR-LibC that sets @code{EIND} to the segment
24241 where the vector table is located.
24243 #include <avr/io.h>
24246 __attribute__((section(".init3"),naked,used,no_instrument_function))
24247 init3_set_eind (void)
24249 __asm volatile ("ldi r24,pm_hh8(__trampolines_start)\n\t"
24250 "out %i0,r24" :: "n" (&EIND) : "r24","memory");
24255 The @code{__trampolines_start} symbol is defined in the linker script.
24258 Stubs are generated automatically by the linker if
24259 the following two conditions are met:
24262 @item The address of a label is taken by means of the @code{gs} modifier
24263 (short for @emph{generate stubs}) like so:
24265 LDI r24, lo8(gs(@var{func}))
24266 LDI r25, hi8(gs(@var{func}))
24268 @item The final location of that label is in a code segment
24269 @emph{outside} the segment where the stubs are located.
24273 The compiler emits such @code{gs} modifiers for code labels in the
24274 following situations:
24276 @item Taking address of a function or code label.
24277 @item Computed goto.
24278 @item If prologue-save function is used, see @option{-mcall-prologues}
24279 command-line option.
24280 @item Switch/case dispatch tables. If you do not want such dispatch
24281 tables you can specify the @option{-fno-jump-tables} command-line option.
24282 @item C and C++ constructors/destructors called during startup/shutdown.
24283 @item If the tools hit a @code{gs()} modifier explained above.
24287 Jumping to non-symbolic addresses like so is @emph{not} supported:
24292 /* Call function at word address 0x2 */
24293 return ((int(*)(void)) 0x2)();
24297 Instead, a stub has to be set up, i.e.@: the function has to be called
24298 through a symbol (@code{func_4} in the example):
24303 extern int func_4 (void);
24305 /* Call function at byte address 0x4 */
24310 and the application be linked with @option{-Wl,--defsym,func_4=0x4}.
24311 Alternatively, @code{func_4} can be defined in the linker script.
24315 @subsubsection Handling of the @code{RAMPD}, @code{RAMPX}, @code{RAMPY} and @code{RAMPZ} Special Function Registers
24316 @cindex @code{RAMPD}
24317 @cindex @code{RAMPX}
24318 @cindex @code{RAMPY}
24319 @cindex @code{RAMPZ}
24320 Some AVR devices support memories larger than the 64@tie{}KiB range
24321 that can be accessed with 16-bit pointers. To access memory locations
24322 outside this 64@tie{}KiB range, the content of a @code{RAMP}
24323 register is used as high part of the address:
24324 The @code{X}, @code{Y}, @code{Z} address register is concatenated
24325 with the @code{RAMPX}, @code{RAMPY}, @code{RAMPZ} special function
24326 register, respectively, to get a wide address. Similarly,
24327 @code{RAMPD} is used together with direct addressing.
24331 The startup code initializes the @code{RAMP} special function
24332 registers with zero.
24335 If a @ref{AVR Named Address Spaces,named address space} other than
24336 generic or @code{__flash} is used, then @code{RAMPZ} is set
24337 as needed before the operation.
24340 If the device supports RAM larger than 64@tie{}KiB and the compiler
24341 needs to change @code{RAMPZ} to accomplish an operation, @code{RAMPZ}
24342 is reset to zero after the operation.
24345 If the device comes with a specific @code{RAMP} register, the ISR
24346 prologue/epilogue saves/restores that SFR and initializes it with
24347 zero in case the ISR code might (implicitly) use it.
24350 RAM larger than 64@tie{}KiB is not supported by GCC for AVR targets.
24351 If you use inline assembler to read from locations outside the
24352 16-bit address range and change one of the @code{RAMP} registers,
24353 you must reset it to zero after the access.
24357 @anchor{avr-macros}
24358 @subsubsection AVR Built-in Macros
24360 GCC defines several built-in macros so that the user code can test
24361 for the presence or absence of features. Almost any of the following
24362 built-in macros are deduced from device capabilities and thus
24363 triggered by the @option{-mmcu=} command-line option.
24365 For even more AVR-specific built-in macros see
24366 @ref{AVR Named Address Spaces} and @ref{AVR Built-in Functions}.
24371 Build-in macro that resolves to a decimal number that identifies the
24372 architecture and depends on the @option{-mmcu=@var{mcu}} option.
24373 Possible values are:
24375 @code{2}, @code{25}, @code{3}, @code{31}, @code{35},
24376 @code{4}, @code{5}, @code{51}, @code{6}
24378 for @var{mcu}=@code{avr2}, @code{avr25}, @code{avr3}, @code{avr31},
24379 @code{avr35}, @code{avr4}, @code{avr5}, @code{avr51}, @code{avr6},
24384 @code{102}, @code{103}, @code{104},
24385 @code{105}, @code{106}, @code{107}
24387 for @var{mcu}=@code{avrtiny},
24388 @code{avrxmega2}, @code{avrxmega3}, @code{avrxmega4},
24389 @code{avrxmega5}, @code{avrxmega6}, @code{avrxmega7}, respectively.
24390 If @var{mcu} specifies a device, this built-in macro is set
24391 accordingly. For example, with @option{-mmcu=atmega8} the macro is
24392 defined to @code{4}.
24394 @item __AVR_@var{Device}__
24395 Setting @option{-mmcu=@var{device}} defines this built-in macro which reflects
24396 the device's name. For example, @option{-mmcu=atmega8} defines the
24397 built-in macro @code{__AVR_ATmega8__}, @option{-mmcu=attiny261a} defines
24398 @code{__AVR_ATtiny261A__}, etc.
24400 The built-in macros' names follow
24401 the scheme @code{__AVR_@var{Device}__} where @var{Device} is
24402 the device name as from the AVR user manual. The difference between
24403 @var{Device} in the built-in macro and @var{device} in
24404 @option{-mmcu=@var{device}} is that the latter is always lowercase.
24406 If @var{device} is not a device but only a core architecture like
24407 @samp{avr51}, this macro is not defined.
24409 @item __AVR_DEVICE_NAME__
24410 Setting @option{-mmcu=@var{device}} defines this built-in macro to
24411 the device's name. For example, with @option{-mmcu=atmega8} the macro
24412 is defined to @code{atmega8}.
24414 If @var{device} is not a device but only a core architecture like
24415 @samp{avr51}, this macro is not defined.
24417 @item __AVR_XMEGA__
24418 The device / architecture belongs to the XMEGA family of devices.
24420 @item __AVR_HAVE_ADIW__
24421 The device has the @code{ADIW} and @code{SBIW} instructions.
24423 @item __AVR_HAVE_ELPM__
24424 The device has the @code{ELPM} instruction.
24426 @item __AVR_HAVE_ELPMX__
24427 The device has the @code{ELPM R@var{n},Z} and @code{ELPM
24428 R@var{n},Z+} instructions.
24430 @item __AVR_HAVE_LPMX__
24431 The device has the @code{LPM R@var{n},Z} and
24432 @code{LPM R@var{n},Z+} instructions.
24434 @item __AVR_HAVE_MOVW__
24435 The device has the @code{MOVW} instruction to perform 16-bit
24436 register-register moves.
24438 @item __AVR_HAVE_MUL__
24439 The device has a hardware multiplier.
24441 @item __AVR_HAVE_JMP_CALL__
24442 The device has the @code{JMP} and @code{CALL} instructions.
24443 This is the case for devices with more than 8@tie{}KiB of program
24446 @item __AVR_HAVE_EIJMP_EICALL__
24447 @itemx __AVR_3_BYTE_PC__
24448 The device has the @code{EIJMP} and @code{EICALL} instructions.
24449 This is the case for devices with more than 128@tie{}KiB of program memory.
24450 This also means that the program counter
24451 (PC) is 3@tie{}bytes wide.
24453 @item __AVR_2_BYTE_PC__
24454 The program counter (PC) is 2@tie{}bytes wide. This is the case for devices
24455 with up to 128@tie{}KiB of program memory.
24457 @item __AVR_HAVE_8BIT_SP__
24458 @itemx __AVR_HAVE_16BIT_SP__
24459 The stack pointer (SP) register is treated as 8-bit respectively
24460 16-bit register by the compiler.
24461 The definition of these macros is affected by @option{-mtiny-stack}.
24463 @item __AVR_HAVE_SPH__
24465 The device has the SPH (high part of stack pointer) special function
24466 register or has an 8-bit stack pointer, respectively.
24467 The definition of these macros is affected by @option{-mmcu=} and
24468 in the cases of @option{-mmcu=avr2} and @option{-mmcu=avr25} also
24471 @item __AVR_HAVE_RAMPD__
24472 @itemx __AVR_HAVE_RAMPX__
24473 @itemx __AVR_HAVE_RAMPY__
24474 @itemx __AVR_HAVE_RAMPZ__
24475 The device has the @code{RAMPD}, @code{RAMPX}, @code{RAMPY},
24476 @code{RAMPZ} special function register, respectively.
24478 @item __NO_INTERRUPTS__
24479 This macro reflects the @option{-mno-interrupts} command-line option.
24481 @item __AVR_ERRATA_SKIP__
24482 @itemx __AVR_ERRATA_SKIP_JMP_CALL__
24483 Some AVR devices (AT90S8515, ATmega103) must not skip 32-bit
24484 instructions because of a hardware erratum. Skip instructions are
24485 @code{SBRS}, @code{SBRC}, @code{SBIS}, @code{SBIC} and @code{CPSE}.
24486 The second macro is only defined if @code{__AVR_HAVE_JMP_CALL__} is also
24489 @item __AVR_ISA_RMW__
24490 The device has Read-Modify-Write instructions (XCH, LAC, LAS and LAT).
24492 @item __AVR_SFR_OFFSET__=@var{offset}
24493 Instructions that can address I/O special function registers directly
24494 like @code{IN}, @code{OUT}, @code{SBI}, etc.@: may use a different
24495 address as if addressed by an instruction to access RAM like @code{LD}
24496 or @code{STS}. This offset depends on the device architecture and has
24497 to be subtracted from the RAM address in order to get the
24498 respective I/O@tie{}address.
24500 @item __AVR_SHORT_CALLS__
24501 The @option{-mshort-calls} command line option is set.
24503 @item __AVR_PM_BASE_ADDRESS__=@var{addr}
24504 Some devices support reading from flash memory by means of @code{LD*}
24505 instructions. The flash memory is seen in the data address space
24506 at an offset of @code{__AVR_PM_BASE_ADDRESS__}. If this macro
24507 is not defined, this feature is not available. If defined,
24508 the address space is linear and there is no need to put
24509 @code{.rodata} into RAM. This is handled by the default linker
24510 description file, and is currently available for
24511 @code{avrtiny} and @code{avrxmega3}. Even more convenient,
24512 there is no need to use address spaces like @code{__flash} or
24513 features like attribute @code{progmem} and @code{pgm_read_*}.
24515 @item __AVR_HAVE_FLMAP__
24516 This macro is defined provided the following conditions are met:
24518 @item The device has the @code{NVMCTRL_CTRLB.FLMAP} bitfield.
24519 This applies to the AVR64* and AVR128* devices.
24520 @item It's not known at assembler-time which emulation will be used.
24522 This implies the compiler was configured with GNU Binutils that implement
24523 @w{@uref{https://sourceware.org/PR31124,PR31124}}.
24525 @item __AVR_RODATA_IN_RAM__
24526 This macro is undefined when the code is compiled for a core architecture.
24528 When the code is compiled for a device, the macro is defined to@tie{}1
24529 when the @code{.rodata} sections for read-only data is located in RAM;
24530 and defined to@tie{}0, otherwise.
24532 @item __WITH_AVRLIBC__
24533 The compiler is configured to be used together with AVR-Libc.
24534 See the @option{--with-avrlibc} configure option.
24536 @item __HAVE_SIGNAL_N__
24537 The compiler supports the @code{signal(@var{num})} and
24538 @code{interrupt(@var{num})}
24539 @ref{AVR Function Attributes,,function attributes}
24540 with an argument @var{num} that specifies the number of the
24541 interrupt service routine.
24543 @item __HAVE_DOUBLE_MULTILIB__
24544 Defined if @option{-mdouble=} acts as a multilib option.
24546 @item __HAVE_DOUBLE32__
24547 @itemx __HAVE_DOUBLE64__
24548 Defined if the compiler supports 32-bit double resp. 64-bit double.
24549 The actual layout is specified by option @option{-mdouble=}.
24551 @item __DEFAULT_DOUBLE__
24552 The size in bits of @code{double} if @option{-mdouble=} is not set.
24553 To test the layout of @code{double} in a program, use the built-in
24554 macro @code{__SIZEOF_DOUBLE__}.
24556 @item __HAVE_LONG_DOUBLE32__
24557 @itemx __HAVE_LONG_DOUBLE64__
24558 @itemx __HAVE_LONG_DOUBLE_MULTILIB__
24559 @itemx __DEFAULT_LONG_DOUBLE__
24560 Same as above, but for @code{long double} instead of @code{double}.
24562 @item __WITH_DOUBLE_COMPARISON__
24563 Reflects the @code{--with-double-comparison=@{tristate|bool|libf7@}}
24564 @w{@uref{https://gcc.gnu.org/install/configure.html#avr,configure option}}
24565 and is defined to @code{2} or @code{3}.
24567 @item __WITH_LIBF7_LIBGCC__
24568 @itemx __WITH_LIBF7_MATH__
24569 @itemx __WITH_LIBF7_MATH_SYMBOLS__
24570 Reflects the @code{--with-libf7=@{libgcc|math|math-symbols@}}
24571 @w{@uref{https://gcc.gnu.org/install/configure.html#avr,configure option}}.
24575 @subsubsection AVR Internal Options
24576 The following options are used internally by the compiler and to communicate
24577 between device specs files and the compiler proper. You don't need to set these
24578 options by hand, in particular they are not optimization options.
24579 Using these options in the wrong way may lead to sub-optimal or wrong code.
24580 They are documented for completeness, and in order to get a better
24582 @w{@uref{https://gcc.gnu.org/wiki/avr-gcc#spec-files,device specs}}
24588 @item -mn-flash=@var{num}
24589 Assume that the flash memory has a size of @var{num} times 64@tie{}KiB.
24590 This determines which @code{__flash@var{N}} address spaces are available.
24594 The device has the @code{FLMAP} bit field located in special function
24595 register @code{NVMCTRL_CTRLB}.
24599 Assume that the device supports the Read-Modify-Write
24600 instructions @code{XCH}, @code{LAC}, @code{LAS} and @code{LAT}.
24602 @opindex mshort-calls
24603 @item -mshort-calls
24605 Assume that @code{RJMP} and @code{RCALL} can target the whole
24606 program memory. This option is used for multilib generation and selection
24607 for the devices from architecture @code{avrxmega3}.
24612 Generate code without skips (@code{CPSE}, @code{SBRS},
24613 @code{SBRC}, @code{SBIS}, @code{SBIC}) over 32-bit instructions.
24617 Treat the stack pointer register as an 8-bit register,
24618 i.e.@: assume the high byte of the stack pointer is zero.
24619 This option is used by the compiler to select and
24620 build multilibs for architectures @code{avr2} and @code{avr25}.
24621 These architectures mix devices with and without @code{SPH}.
24625 @node Blackfin Options
24626 @subsection Blackfin Options
24627 @cindex Blackfin Options
24631 @item -mcpu=@var{cpu}@r{[}-@var{sirevision}@r{]}
24632 Specifies the name of the target Blackfin processor. Currently, @var{cpu}
24633 can be one of @samp{bf512}, @samp{bf514}, @samp{bf516}, @samp{bf518},
24634 @samp{bf522}, @samp{bf523}, @samp{bf524}, @samp{bf525}, @samp{bf526},
24635 @samp{bf527}, @samp{bf531}, @samp{bf532}, @samp{bf533},
24636 @samp{bf534}, @samp{bf536}, @samp{bf537}, @samp{bf538}, @samp{bf539},
24637 @samp{bf542}, @samp{bf544}, @samp{bf547}, @samp{bf548}, @samp{bf549},
24638 @samp{bf542m}, @samp{bf544m}, @samp{bf547m}, @samp{bf548m}, @samp{bf549m},
24639 @samp{bf561}, @samp{bf592}.
24641 The optional @var{sirevision} specifies the silicon revision of the target
24642 Blackfin processor. Any workarounds available for the targeted silicon revision
24643 are enabled. If @var{sirevision} is @samp{none}, no workarounds are enabled.
24644 If @var{sirevision} is @samp{any}, all workarounds for the targeted processor
24645 are enabled. The @code{__SILICON_REVISION__} macro is defined to two
24646 hexadecimal digits representing the major and minor numbers in the silicon
24647 revision. If @var{sirevision} is @samp{none}, the @code{__SILICON_REVISION__}
24648 is not defined. If @var{sirevision} is @samp{any}, the
24649 @code{__SILICON_REVISION__} is defined to be @code{0xffff}.
24650 If this optional @var{sirevision} is not used, GCC assumes the latest known
24651 silicon revision of the targeted Blackfin processor.
24653 GCC defines a preprocessor macro for the specified @var{cpu}.
24654 For the @samp{bfin-elf} toolchain, this option causes the hardware BSP
24655 provided by libgloss to be linked in if @option{-msim} is not given.
24657 Without this option, @samp{bf532} is used as the processor by default.
24659 Note that support for @samp{bf561} is incomplete. For @samp{bf561},
24660 only the preprocessor macro is defined.
24664 Specifies that the program will be run on the simulator. This causes
24665 the simulator BSP provided by libgloss to be linked in. This option
24666 has effect only for @samp{bfin-elf} toolchain.
24667 Certain other options, such as @option{-mid-shared-library} and
24668 @option{-mfdpic}, imply @option{-msim}.
24670 @opindex momit-leaf-frame-pointer
24671 @item -momit-leaf-frame-pointer
24672 Don't keep the frame pointer in a register for leaf functions. This
24673 avoids the instructions to save, set up and restore frame pointers and
24674 makes an extra register available in leaf functions.
24676 @opindex mspecld-anomaly
24677 @item -mspecld-anomaly
24678 When enabled, the compiler ensures that the generated code does not
24679 contain speculative loads after jump instructions. If this option is used,
24680 @code{__WORKAROUND_SPECULATIVE_LOADS} is defined.
24682 @opindex mno-specld-anomaly
24683 @opindex mspecld-anomaly
24684 @item -mno-specld-anomaly
24685 Don't generate extra code to prevent speculative loads from occurring.
24687 @opindex mcsync-anomaly
24688 @item -mcsync-anomaly
24689 When enabled, the compiler ensures that the generated code does not
24690 contain CSYNC or SSYNC instructions too soon after conditional branches.
24691 If this option is used, @code{__WORKAROUND_SPECULATIVE_SYNCS} is defined.
24693 @opindex mno-csync-anomaly
24694 @opindex mcsync-anomaly
24695 @item -mno-csync-anomaly
24696 Don't generate extra code to prevent CSYNC or SSYNC instructions from
24697 occurring too soon after a conditional branch.
24701 When enabled, the compiler is free to take advantage of the knowledge that
24702 the entire program fits into the low 64k of memory.
24704 @opindex mno-low64k
24706 Assume that the program is arbitrarily large. This is the default.
24708 @opindex mstack-check-l1
24709 @item -mstack-check-l1
24710 Do stack checking using information placed into L1 scratchpad memory by the
24713 @opindex mid-shared-library
24714 @item -mid-shared-library
24715 Generate code that supports shared libraries via the library ID method.
24716 This allows for execute in place and shared libraries in an environment
24717 without virtual memory management. This option implies @option{-fPIC}.
24718 With a @samp{bfin-elf} target, this option implies @option{-msim}.
24720 @opindex mno-id-shared-library
24721 @opindex mid-shared-library
24722 @item -mno-id-shared-library
24723 Generate code that doesn't assume ID-based shared libraries are being used.
24724 This is the default.
24726 @opindex mleaf-id-shared-library
24727 @item -mleaf-id-shared-library
24728 Generate code that supports shared libraries via the library ID method,
24729 but assumes that this library or executable won't link against any other
24730 ID shared libraries. That allows the compiler to use faster code for jumps
24733 @opindex mno-leaf-id-shared-library
24734 @opindex mleaf-id-shared-library
24735 @item -mno-leaf-id-shared-library
24736 Do not assume that the code being compiled won't link against any ID shared
24737 libraries. Slower code is generated for jump and call insns.
24739 @opindex mshared-library-id
24740 @item -mshared-library-id=n
24741 Specifies the identification number of the ID-based shared library being
24742 compiled. Specifying a value of 0 generates more compact code; specifying
24743 other values forces the allocation of that number to the current
24744 library but is no more space- or time-efficient than omitting this option.
24748 Generate code that allows the data segment to be located in a different
24749 area of memory from the text segment. This allows for execute in place in
24750 an environment without virtual memory management by eliminating relocations
24751 against the text section.
24753 @opindex mno-sep-data
24755 @item -mno-sep-data
24756 Generate code that assumes that the data segment follows the text segment.
24757 This is the default.
24759 @opindex mlong-calls
24760 @opindex mno-long-calls
24762 @itemx -mno-long-calls
24763 Tells the compiler to perform function calls by first loading the
24764 address of the function into a register and then performing a subroutine
24765 call on this register. This switch is needed if the target function
24766 lies outside of the 24-bit addressing range of the offset-based
24767 version of subroutine call instruction.
24769 This feature is not enabled by default. Specifying
24770 @option{-mno-long-calls} restores the default behavior. Note these
24771 switches have no effect on how the compiler generates code to handle
24772 function calls via function pointers.
24776 Link with the fast floating-point library. This library relaxes some of
24777 the IEEE floating-point standard's rules for checking inputs against
24778 Not-a-Number (NAN), in the interest of performance.
24780 @opindex minline-plt
24782 Enable inlining of PLT entries in function calls to functions that are
24783 not known to bind locally. It has no effect without @option{-mfdpic}.
24785 @opindex mmulticore
24787 Build a standalone application for multicore Blackfin processors.
24788 This option causes proper start files and link scripts supporting
24789 multicore to be used, and defines the macro @code{__BFIN_MULTICORE}.
24790 It can only be used with @option{-mcpu=bf561@r{[}-@var{sirevision}@r{]}}.
24792 This option can be used with @option{-mcorea} or @option{-mcoreb}, which
24793 selects the one-application-per-core programming model. Without
24794 @option{-mcorea} or @option{-mcoreb}, the single-application/dual-core
24795 programming model is used. In this model, the main function of Core B
24796 should be named as @code{coreb_main}.
24798 If this option is not used, the single-core application programming
24803 Build a standalone application for Core A of BF561 when using
24804 the one-application-per-core programming model. Proper start files
24805 and link scripts are used to support Core A, and the macro
24806 @code{__BFIN_COREA} is defined.
24807 This option can only be used in conjunction with @option{-mmulticore}.
24811 Build a standalone application for Core B of BF561 when using
24812 the one-application-per-core programming model. Proper start files
24813 and link scripts are used to support Core B, and the macro
24814 @code{__BFIN_COREB} is defined. When this option is used, @code{coreb_main}
24815 should be used instead of @code{main}.
24816 This option can only be used in conjunction with @option{-mmulticore}.
24820 Build a standalone application for SDRAM. Proper start files and
24821 link scripts are used to put the application into SDRAM, and the macro
24822 @code{__BFIN_SDRAM} is defined.
24823 The loader should initialize SDRAM before loading the application.
24827 Assume that ICPLBs are enabled at run time. This has an effect on certain
24828 anomaly workarounds. For Linux targets, the default is to assume ICPLBs
24829 are enabled; for standalone applications the default is off.
24833 @subsection C6X Options
24834 @cindex C6X Options
24838 @item -march=@var{name}
24839 This specifies the name of the target architecture. GCC uses this
24840 name to determine what kind of instructions it can emit when generating
24841 assembly code. Permissible names are: @samp{c62x},
24842 @samp{c64x}, @samp{c64x+}, @samp{c67x}, @samp{c67x+}, @samp{c674x}.
24844 @opindex mbig-endian
24846 Generate code for a big-endian target.
24848 @opindex mlittle-endian
24849 @item -mlittle-endian
24850 Generate code for a little-endian target. This is the default.
24854 Choose startup files and linker script suitable for the simulator.
24856 @opindex msdata=default
24857 @item -msdata=default
24858 Put small global and static data in the @code{.neardata} section,
24859 which is pointed to by register @code{B14}. Put small uninitialized
24860 global and static data in the @code{.bss} section, which is adjacent
24861 to the @code{.neardata} section. Put small read-only data into the
24862 @code{.rodata} section. The corresponding sections used for large
24863 pieces of data are @code{.fardata}, @code{.far} and @code{.const}.
24865 @opindex msdata=all
24867 Put all data, not just small objects, into the sections reserved for
24868 small data, and use addressing relative to the @code{B14} register to
24871 @opindex msdata=none
24873 Make no use of the sections reserved for small data, and use absolute
24874 addresses to access all data. Put all initialized global and static
24875 data in the @code{.fardata} section, and all uninitialized data in the
24876 @code{.far} section. Put all constant data into the @code{.const}
24881 @subsection CRIS Options
24882 @cindex CRIS Options
24884 These options are defined specifically for the CRIS ports.
24889 @item -march=@var{architecture-type}
24890 @itemx -mcpu=@var{architecture-type}
24891 Generate code for the specified architecture. The choices for
24892 @var{architecture-type} are @samp{v3}, @samp{v8} and @samp{v10} for
24893 respectively ETRAX@w{ }4, ETRAX@w{ }100, and ETRAX@w{ }100@w{ }LX@.
24894 Default is @samp{v0}.
24897 @item -mtune=@var{architecture-type}
24898 Tune to @var{architecture-type} everything applicable about the generated
24899 code, except for the ABI and the set of available instructions. The
24900 choices for @var{architecture-type} are the same as for
24901 @option{-march=@var{architecture-type}}.
24903 @opindex mmax-stack-frame
24904 @item -mmax-stack-frame=@var{n}
24905 Warn when the stack frame of a function exceeds @var{n} bytes.
24911 The options @option{-metrax4} and @option{-metrax100} are synonyms for
24912 @option{-march=v3} and @option{-march=v8} respectively.
24914 @opindex mmul-bug-workaround
24915 @opindex mno-mul-bug-workaround
24916 @item -mmul-bug-workaround
24917 @itemx -mno-mul-bug-workaround
24918 Work around a bug in the @code{muls} and @code{mulu} instructions for CPU
24919 models where it applies. This option is disabled by default.
24923 Enable CRIS-specific verbose debug-related information in the assembly
24924 code. This option also has the effect of turning off the @samp{#NO_APP}
24925 formatted-code indicator to the assembler at the beginning of the
24930 Do not use condition-code results from previous instruction; always emit
24931 compare and test instructions before use of condition codes.
24933 @opindex mno-side-effects
24934 @opindex mside-effects
24935 @item -mno-side-effects
24936 Do not emit instructions with side effects in addressing modes other than
24939 @opindex mstack-align
24940 @opindex mno-stack-align
24941 @opindex mdata-align
24942 @opindex mno-data-align
24943 @opindex mconst-align
24944 @opindex mno-const-align
24945 @item -mstack-align
24946 @itemx -mno-stack-align
24947 @itemx -mdata-align
24948 @itemx -mno-data-align
24949 @itemx -mconst-align
24950 @itemx -mno-const-align
24951 These options (@samp{no-} options) arrange (eliminate arrangements) for the
24952 stack frame, individual data and constants to be aligned for the maximum
24953 single data access size for the chosen CPU model. The default is to
24954 arrange for 32-bit alignment. ABI details such as structure layout are
24955 not affected by these options.
24963 Similar to the stack- data- and const-align options above, these options
24964 arrange for stack frame, writable data and constants to all be 32-bit,
24965 16-bit or 8-bit aligned. The default is 32-bit alignment.
24967 @opindex mno-prologue-epilogue
24968 @opindex mprologue-epilogue
24969 @item -mno-prologue-epilogue
24970 @itemx -mprologue-epilogue
24971 With @option{-mno-prologue-epilogue}, the normal function prologue and
24972 epilogue which set up the stack frame are omitted and no return
24973 instructions or return sequences are generated in the code. Use this
24974 option only together with visual inspection of the compiled code: no
24975 warnings or errors are generated when call-saved registers must be saved,
24976 or storage for local variables needs to be allocated.
24980 Legacy no-op option.
24984 This option arranges
24985 to link with input-output functions from a simulator library. Code,
24986 initialized data and zero-initialized data are allocated consecutively.
24990 Like @option{-sim}, but pass linker options to locate initialized data at
24991 0x40000000 and zero-initialized data at 0x80000000.
24994 @node C-SKY Options
24995 @subsection C-SKY Options
24996 @cindex C-SKY Options
24998 GCC supports these options when compiling for C-SKY V2 processors.
25003 @item -march=@var{arch}
25004 Specify the C-SKY target architecture. Valid values for @var{arch} are:
25005 @samp{ck801}, @samp{ck802}, @samp{ck803}, @samp{ck807}, and @samp{ck810}.
25006 The default is @samp{ck810}.
25009 @item -mcpu=@var{cpu}
25010 Specify the C-SKY target processor. Valid values for @var{cpu} are:
25011 @samp{ck801}, @samp{ck801t},
25012 @samp{ck802}, @samp{ck802t}, @samp{ck802j},
25013 @samp{ck803}, @samp{ck803h}, @samp{ck803t}, @samp{ck803ht},
25014 @samp{ck803f}, @samp{ck803fh}, @samp{ck803e}, @samp{ck803eh},
25015 @samp{ck803et}, @samp{ck803eht}, @samp{ck803ef}, @samp{ck803efh},
25016 @samp{ck803ft}, @samp{ck803eft}, @samp{ck803efht}, @samp{ck803r1},
25017 @samp{ck803hr1}, @samp{ck803tr1}, @samp{ck803htr1}, @samp{ck803fr1},
25018 @samp{ck803fhr1}, @samp{ck803er1}, @samp{ck803ehr1}, @samp{ck803etr1},
25019 @samp{ck803ehtr1}, @samp{ck803efr1}, @samp{ck803efhr1}, @samp{ck803ftr1},
25020 @samp{ck803eftr1}, @samp{ck803efhtr1},
25021 @samp{ck803s}, @samp{ck803st}, @samp{ck803se}, @samp{ck803sf},
25022 @samp{ck803sef}, @samp{ck803seft},
25023 @samp{ck807e}, @samp{ck807ef}, @samp{ck807}, @samp{ck807f},
25024 @samp{ck810e}, @samp{ck810et}, @samp{ck810ef}, @samp{ck810eft},
25025 @samp{ck810}, @samp{ck810v}, @samp{ck810f}, @samp{ck810t}, @samp{ck810fv},
25026 @samp{ck810tv}, @samp{ck810ft}, and @samp{ck810ftv}.
25028 @opindex mbig-endian
25030 @opindex mlittle-endian
25034 @itemx -mlittle-endian
25037 Select big- or little-endian code. The default is little-endian.
25039 @opindex mfloat-abi
25040 @item -mfloat-abi=@var{name}
25041 Specifies which floating-point ABI to use. Permissible values
25042 are: @samp{soft}, @samp{softfp} and @samp{hard}.
25044 Specifying @samp{soft} causes GCC to generate output containing
25045 library calls for floating-point operations.
25046 @samp{softfp} allows the generation of code using hardware floating-point
25047 instructions, but still uses the soft-float calling conventions.
25048 @samp{hard} allows generation of floating-point instructions
25049 and uses FPU-specific calling conventions.
25051 The default depends on the specific target configuration. Note that
25052 the hard-float and soft-float ABIs are not link-compatible; you must
25053 compile your entire program with the same ABI, and link with a
25054 compatible set of libraries.
25056 @opindex mhard-float
25057 @opindex msoft-float
25059 @itemx -msoft-float
25061 Select hardware or software floating-point implementations.
25062 The default is soft float.
25064 @opindex mdouble-float
25065 @item -mdouble-float
25066 @itemx -mno-double-float
25067 When @option{-mhard-float} is in effect, enable generation of
25068 double-precision float instructions. This is the default except
25069 when compiling for CK803.
25074 When @option{-mhard-float} is in effect, enable generation of
25075 @code{frecipd}, @code{fsqrtd}, and @code{fdivd} instructions.
25076 This is the default except when compiling for CK803.
25079 @item -mfpu=@var{fpu}
25080 Select the floating-point processor. This option can only be used with
25081 @option{-mhard-float}.
25082 Values for @var{fpu} are
25083 @samp{fpv2_sf} (equivalent to @samp{-mno-double-float -mno-fdivdu}),
25084 @samp{fpv2} (@samp{-mdouble-float -mno-divdu}), and
25085 @samp{fpv2_divd} (@samp{-mdouble-float -mdivdu}).
25090 Enable the extended @code{lrw} instruction. This option defaults to on
25091 for CK801 and off otherwise.
25096 Enable interrupt stack instructions; the default is off.
25098 The @option{-mistack} option is required to handle the
25099 @code{interrupt} and @code{isr} function attributes
25100 (@pxref{C-SKY Function Attributes}).
25104 Enable multiprocessor instructions; the default is off.
25108 Enable coprocessor instructions; the default is off.
25112 Enable coprocessor instructions; the default is off.
25116 Enable C-SKY security instructions; the default is off.
25120 Enable C-SKY trust instructions; the default is off.
25128 Enable C-SKY DSP, Enhanced DSP, or Vector DSP instructions, respectively.
25129 All of these options default to off.
25134 Generate divide instructions. Default is off.
25139 Generate code for Smart Mode, using only registers numbered 0-7 to allow
25140 use of 16-bit instructions. This option is ignored for CK801 where this
25141 is the required behavior, and it defaults to on for CK802.
25142 For other targets, the default is off.
25144 @opindex mhigh-registers
25145 @item -mhigh-registers
25146 @itemx -mno-high-registers
25147 Generate code using the high registers numbered 16-31. This option
25148 is not supported on CK801, CK802, or CK803, and is enabled by default
25149 for other processors.
25154 Generate code using global anchor symbol addresses.
25158 @itemx -mno-pushpop
25159 Generate code using @code{push} and @code{pop} instructions. This option
25162 @opindex mmultiple-stld
25163 @item -mmultiple-stld
25165 @itemx -mno-multiple-stld
25167 Generate code using @code{stm} and @code{ldm} instructions. This option
25168 isn't supported on CK801 but is enabled by default on other processors.
25170 @opindex mconstpool
25172 @itemx -mno-constpool
25173 Create constant pools in the compiler instead of deferring it to the
25174 assembler. This option is the default and required for correct code
25175 generation on CK801 and CK802, and is optional on other processors.
25177 @opindex mstack-size
25179 @item -mno-stack-size
25180 Emit @code{.stack_size} directives for each function in the assembly
25181 output. This option defaults to off.
25186 Generate code for the C-SKY compiler runtime instead of libgcc. This
25187 option defaults to off.
25189 @opindex mbranch-cost=
25190 @item -mbranch-cost=@var{n}
25191 Set the branch costs to roughly @code{n} instructions. The default is 1.
25193 @opindex msched-prolog
25194 @item -msched-prolog
25195 @itemx -mno-sched-prolog
25196 Permit scheduling of function prologue and epilogue sequences. Using
25197 this option can result in code that is not compliant with the C-SKY V2 ABI
25198 prologue requirements and that cannot be debugged or backtraced.
25199 It is disabled by default.
25203 Links the library libsemi.a which is in compatible with simulator. Applicable
25204 to ELF compiler only.
25208 @node Darwin Options
25209 @subsection Darwin Options
25210 @cindex Darwin options
25212 These options are defined for all architectures running the Darwin operating
25215 FSF GCC on Darwin does not create ``fat'' object files; it creates
25216 an object file for the single architecture that GCC was built to
25217 target. Apple's GCC on Darwin does create ``fat'' files if multiple
25218 @option{-arch} options are used; it does so by running the compiler or
25219 linker multiple times and joining the results together with
25222 The subtype of the file created (like @samp{ppc7400} or @samp{ppc970} or
25223 @samp{i686}) is determined by the flags that specify the ISA
25224 that GCC is targeting, like @option{-mcpu} or @option{-march}. The
25225 @option{-force_cpusubtype_ALL} option can be used to override this.
25227 The Darwin tools vary in their behavior when presented with an ISA
25228 mismatch. The assembler, @file{as}, only permits instructions to
25229 be used that are valid for the subtype of the file it is generating,
25230 so you cannot put 64-bit instructions in a @samp{ppc750} object file.
25231 The linker for shared libraries, @file{/usr/bin/libtool}, fails
25232 and prints an error if asked to create a shared library with a less
25233 restrictive subtype than its input files (for instance, trying to put
25234 a @samp{ppc970} object file in a @samp{ppc7400} library). The linker
25235 for executables, @command{ld}, quietly gives the executable the most
25236 restrictive subtype of any of its input files.
25241 Add the framework directory @var{dir} to the head of the list of
25242 directories to be searched for header files. These directories are
25243 interleaved with those specified by @option{-I} options and are
25244 scanned in a left-to-right order.
25246 A framework directory is a directory with frameworks in it. A
25247 framework is a directory with a @file{Headers} and/or
25248 @file{PrivateHeaders} directory contained directly in it that ends
25249 in @file{.framework}. The name of a framework is the name of this
25250 directory excluding the @file{.framework}. Headers associated with
25251 the framework are found in one of those two directories, with
25252 @file{Headers} being searched first. A subframework is a framework
25253 directory that is in a framework's @file{Frameworks} directory.
25254 Includes of subframework headers can only appear in a header of a
25255 framework that contains the subframework, or in a sibling subframework
25256 header. Two subframeworks are siblings if they occur in the same
25257 framework. A subframework should not have the same name as a
25258 framework; a warning is issued if this is violated. Currently a
25259 subframework cannot have subframeworks; in the future, the mechanism
25260 may be extended to support this. The standard frameworks can be found
25261 in @file{/System/Library/Frameworks} and
25262 @file{/Library/Frameworks}. An example include looks like
25263 @code{#include <Framework/header.h>}, where @file{Framework} denotes
25264 the name of the framework and @file{header.h} is found in the
25265 @file{PrivateHeaders} or @file{Headers} directory.
25267 @opindex iframework
25268 @item -iframework@var{dir}
25269 Like @option{-F} except the directory is a treated as a system
25270 directory. The main difference between this @option{-iframework} and
25271 @option{-F} is that with @option{-iframework} the compiler does not
25272 warn about constructs contained within header files found via
25273 @var{dir}. This option is valid only for the C family of languages.
25277 Emit debugging information for symbols that are used. For stabs
25278 debugging format, this enables @option{-feliminate-unused-debug-symbols}.
25279 This is by default ON@.
25283 Emit debugging information for all symbols and types.
25285 @opindex fconstant-cfstrings
25286 @item -fconstant-cfstrings
25287 The @option{-fconstant-cfstrings} is an alias for @option{-mconstant-cfstrings}.
25289 @opindex mconstant-cfstrings
25290 @item -mconstant-cfstrings
25291 When the NeXT runtime is being used (the default on these systems), override
25292 any @option{-fconstant-string-class} setting and cause @code{@@"@dots{}"}
25293 literals to be laid out as constant CoreFoundation strings.
25295 @opindex mmacosx-version-min
25296 @item -mmacosx-version-min=@var{version}
25297 The earliest version of MacOS X that this executable will run on is
25298 @var{version}. Typical values supported for @var{version} include @code{12},
25299 @code{10.12}, and @code{10.5.8}.
25301 If the compiler was built to use the system's headers by default,
25302 then the default for this option is the system version on which the
25303 compiler is running, otherwise the default is to make choices that
25304 are compatible with as many systems and code bases as possible.
25308 Enable kernel development mode. The @option{-mkernel} option sets
25309 @option{-static}, @option{-fno-common}, @option{-fno-use-cxa-atexit},
25310 @option{-fno-exceptions}, @option{-fno-non-call-exceptions},
25311 @option{-fapple-kext}, @option{-fno-weak} and @option{-fno-rtti} where
25312 applicable. This mode also sets @option{-mno-altivec},
25313 @option{-msoft-float}, @option{-fno-builtin} and
25314 @option{-mlong-branch} for PowerPC targets.
25316 @opindex mone-byte-bool
25317 @item -mone-byte-bool
25318 Override the defaults for @code{bool} so that @code{sizeof(bool)==1}.
25319 By default @code{sizeof(bool)} is @code{4} when compiling for
25320 Darwin/PowerPC and @code{1} when compiling for Darwin/x86, so this
25321 option has no effect on x86.
25323 @strong{Warning:} The @option{-mone-byte-bool} switch causes GCC
25324 to generate code that is not binary compatible with code generated
25325 without that switch. Using this switch may require recompiling all
25326 other modules in a program, including system libraries. Use this
25327 switch to conform to a non-default data model.
25329 @opindex mfix-and-continue
25330 @opindex ffix-and-continue
25331 @opindex findirect-data
25332 @item -mfix-and-continue
25333 @itemx -ffix-and-continue
25334 @itemx -findirect-data
25335 Generate code suitable for fast turnaround development, such as to
25336 allow GDB to dynamically load @file{.o} files into already-running
25337 programs. @option{-findirect-data} and @option{-ffix-and-continue}
25338 are provided for backwards compatibility.
25342 Loads all members of static archive libraries.
25343 See man ld(1) for more information.
25345 @opindex arch_errors_fatal
25346 @item -arch_errors_fatal
25347 Cause the errors having to do with files that have the wrong architecture
25350 @opindex bind_at_load
25351 @item -bind_at_load
25352 Causes the output file to be marked such that the dynamic linker will
25353 bind all undefined references when the file is loaded or launched.
25357 Produce a Mach-o bundle format file.
25358 See man ld(1) for more information.
25360 @opindex bundle_loader
25361 @item -bundle_loader @var{executable}
25362 This option specifies the @var{executable} that will load the build
25363 output file being linked. See man ld(1) for more information.
25365 @opindex dynamiclib
25367 When passed this option, GCC produces a dynamic library instead of
25368 an executable when linking, using the Darwin @file{libtool} command.
25370 @opindex force_cpusubtype_ALL
25371 @item -force_cpusubtype_ALL
25372 This causes GCC's output file to have the @samp{ALL} subtype, instead of
25373 one controlled by the @option{-mcpu} or @option{-march} option.
25375 @opindex nodefaultrpaths
25376 @item -nodefaultrpaths
25377 Do not add default run paths for the compiler library directories to
25378 executables, modules or dynamic libraries. On macOS 10.5 and later,
25379 the embedded runpath is added by default unless the user adds
25380 @option{-nodefaultrpaths} to the link line. Run paths are needed
25381 (and therefore enforced) to build on macOS version 10.11 or later.
25383 @item -allowable_client @var{client_name}
25384 @itemx -client_name
25385 @itemx -compatibility_version
25386 @itemx -current_version
25388 @itemx -dependency-file
25390 @itemx -dylinker_install_name
25392 @itemx -exported_symbols_list
25395 @itemx -flat_namespace
25396 @itemx -force_flat_namespace
25397 @itemx -headerpad_max_install_names
25400 @itemx -install_name
25401 @itemx -keep_private_externs
25402 @itemx -multi_module
25403 @itemx -multiply_defined
25404 @itemx -multiply_defined_unused
25407 @itemx -no_dead_strip_inits_and_terms
25408 @itemx -nofixprebinding
25409 @itemx -nomultidefs
25411 @itemx -noseglinkedit
25412 @itemx -pagezero_size
25414 @itemx -prebind_all_twolevel_modules
25415 @itemx -private_bundle
25417 @itemx -read_only_relocs
25419 @itemx -sectobjectsymbols
25423 @itemx -sectobjectsymbols
25426 @itemx -segs_read_only_addr
25428 @itemx -segs_read_write_addr
25429 @itemx -seg_addr_table
25430 @itemx -seg_addr_table_filename
25431 @itemx -seglinkedit
25433 @itemx -segs_read_only_addr
25434 @itemx -segs_read_write_addr
25435 @itemx -single_module
25437 @itemx -sub_library
25439 @opindex allowable_client
25440 @opindex client_name
25441 @opindex compatibility_version
25442 @opindex current_version
25443 @opindex dead_strip
25444 @opindex dependency-file
25445 @opindex dylib_file
25446 @opindex dylinker_install_name
25448 @opindex exported_symbols_list
25450 @opindex flat_namespace
25451 @opindex force_flat_namespace
25452 @opindex headerpad_max_install_names
25453 @opindex image_base
25455 @opindex install_name
25456 @opindex keep_private_externs
25457 @opindex multi_module
25458 @opindex multiply_defined
25459 @opindex multiply_defined_unused
25460 @opindex noall_load
25461 @opindex no_dead_strip_inits_and_terms
25462 @opindex nofixprebinding
25463 @opindex nomultidefs
25465 @opindex noseglinkedit
25466 @opindex pagezero_size
25468 @opindex prebind_all_twolevel_modules
25469 @opindex private_bundle
25470 @opindex read_only_relocs
25472 @opindex sectobjectsymbols
25475 @opindex sectcreate
25476 @opindex sectobjectsymbols
25479 @opindex segs_read_only_addr
25480 @opindex segs_read_write_addr
25481 @opindex seg_addr_table
25482 @opindex seg_addr_table_filename
25483 @opindex seglinkedit
25485 @opindex segs_read_only_addr
25486 @opindex segs_read_write_addr
25487 @opindex single_module
25489 @opindex sub_library
25490 @opindex sub_umbrella
25491 @opindex twolevel_namespace
25494 @opindex unexported_symbols_list
25495 @opindex weak_reference_mismatches
25496 @opindex whatsloaded
25497 @itemx -sub_umbrella
25498 @itemx -twolevel_namespace
25501 @itemx -unexported_symbols_list
25502 @itemx -weak_reference_mismatches
25503 @itemx -whatsloaded
25504 These options are passed to the Darwin linker. The Darwin linker man page
25505 describes them in detail.
25508 @node DEC Alpha Options
25509 @subsection DEC Alpha Options
25511 These @samp{-m} options are defined for the DEC Alpha implementations:
25514 @opindex mno-soft-float
25515 @opindex msoft-float
25516 @item -mno-soft-float
25517 @itemx -msoft-float
25518 Use (do not use) the hardware floating-point instructions for
25519 floating-point operations. When @option{-msoft-float} is specified,
25520 functions in @file{libgcc.a} are used to perform floating-point
25521 operations. Unless they are replaced by routines that emulate the
25522 floating-point operations, or compiled in such a way as to call such
25523 emulations routines, these routines issue floating-point
25524 operations. If you are compiling for an Alpha without floating-point
25525 operations, you must ensure that the library is built so as not to call
25528 Note that Alpha implementations without floating-point operations are
25529 required to have floating-point registers.
25532 @opindex mno-fp-regs
25534 @itemx -mno-fp-regs
25535 Generate code that uses (does not use) the floating-point register set.
25536 @option{-mno-fp-regs} implies @option{-msoft-float}. If the floating-point
25537 register set is not used, floating-point operands are passed in integer
25538 registers as if they were integers and floating-point results are passed
25539 in @code{$0} instead of @code{$f0}. This is a non-standard calling sequence,
25540 so any function with a floating-point argument or return value called by code
25541 compiled with @option{-mno-fp-regs} must also be compiled with that
25544 A typical use of this option is building a kernel that does not use,
25545 and hence need not save and restore, any floating-point registers.
25549 The Alpha architecture implements floating-point hardware optimized for
25550 maximum performance. It is mostly compliant with the IEEE floating-point
25551 standard. However, for full compliance, software assistance is
25552 required. This option generates code fully IEEE-compliant code
25553 @emph{except} that the @var{inexact-flag} is not maintained (see below).
25554 If this option is turned on, the preprocessor macro @code{_IEEE_FP} is
25555 defined during compilation. The resulting code is less efficient but is
25556 able to correctly support denormalized numbers and exceptional IEEE
25557 values such as not-a-number and plus/minus infinity. Other Alpha
25558 compilers call this option @option{-ieee_with_no_inexact}.
25560 @opindex mieee-with-inexact
25561 @item -mieee-with-inexact
25562 This is like @option{-mieee} except the generated code also maintains
25563 the IEEE @var{inexact-flag}. Turning on this option causes the
25564 generated code to implement fully-compliant IEEE math. In addition to
25565 @code{_IEEE_FP}, @code{_IEEE_FP_EXACT} is defined as a preprocessor
25566 macro. On some Alpha implementations the resulting code may execute
25567 significantly slower than the code generated by default. Since there is
25568 very little code that depends on the @var{inexact-flag}, you should
25569 normally not specify this option. Other Alpha compilers call this
25570 option @option{-ieee_with_inexact}.
25572 @opindex mfp-trap-mode
25573 @item -mfp-trap-mode=@var{trap-mode}
25574 This option controls what floating-point related traps are enabled.
25575 Other Alpha compilers call this option @option{-fptm @var{trap-mode}}.
25576 The trap mode can be set to one of four values:
25580 This is the default (normal) setting. The only traps that are enabled
25581 are the ones that cannot be disabled in software (e.g., division by zero
25585 In addition to the traps enabled by @samp{n}, underflow traps are enabled
25589 Like @samp{u}, but the instructions are marked to be safe for software
25590 completion (see Alpha architecture manual for details).
25593 Like @samp{su}, but inexact traps are enabled as well.
25596 @opindex mfp-rounding-mode
25597 @item -mfp-rounding-mode=@var{rounding-mode}
25598 Selects the IEEE rounding mode. Other Alpha compilers call this option
25599 @option{-fprm @var{rounding-mode}}. The @var{rounding-mode} can be one
25604 Normal IEEE rounding mode. Floating-point numbers are rounded towards
25605 the nearest machine number or towards the even machine number in case
25609 Round towards minus infinity.
25612 Chopped rounding mode. Floating-point numbers are rounded towards zero.
25615 Dynamic rounding mode. A field in the floating-point control register
25616 (@var{fpcr}, see Alpha architecture reference manual) controls the
25617 rounding mode in effect. The C library initializes this register for
25618 rounding towards plus infinity. Thus, unless your program modifies the
25619 @var{fpcr}, @samp{d} corresponds to round towards plus infinity.
25622 @opindex mtrap-precision
25623 @item -mtrap-precision=@var{trap-precision}
25624 In the Alpha architecture, floating-point traps are imprecise. This
25625 means without software assistance it is impossible to recover from a
25626 floating trap and program execution normally needs to be terminated.
25627 GCC can generate code that can assist operating system trap handlers
25628 in determining the exact location that caused a floating-point trap.
25629 Depending on the requirements of an application, different levels of
25630 precisions can be selected:
25634 Program precision. This option is the default and means a trap handler
25635 can only identify which program caused a floating-point exception.
25638 Function precision. The trap handler can determine the function that
25639 caused a floating-point exception.
25642 Instruction precision. The trap handler can determine the exact
25643 instruction that caused a floating-point exception.
25646 Other Alpha compilers provide the equivalent options called
25647 @option{-scope_safe} and @option{-resumption_safe}.
25649 @opindex mieee-conformant
25650 @item -mieee-conformant
25651 This option marks the generated code as IEEE conformant. You must not
25652 use this option unless you also specify @option{-mtrap-precision=i} and either
25653 @option{-mfp-trap-mode=su} or @option{-mfp-trap-mode=sui}. Its only effect
25654 is to emit the line @samp{.eflag 48} in the function prologue of the
25655 generated assembly file.
25657 @opindex mbuild-constants
25658 @item -mbuild-constants
25659 Normally GCC examines a 32- or 64-bit integer constant to
25660 see if it can construct it from smaller constants in two or three
25661 instructions. If it cannot, it outputs the constant as a literal and
25662 generates code to load it from the data segment at run time.
25664 Use this option to require GCC to construct @emph{all} integer constants
25665 using code, even if it takes more instructions (the maximum is six).
25667 You typically use this option to build a shared library dynamic
25668 loader. Itself a shared library, it must relocate itself in memory
25669 before it can find the variables and constants in its own data segment.
25687 Indicate whether GCC should generate code to use the optional BWX,
25688 CIX, FIX and MAX instruction sets. The default is to use the instruction
25689 sets supported by the CPU type specified via @option{-mcpu=} option or that
25690 of the CPU on which GCC was built if none is specified.
25692 @opindex mfloat-vax
25693 @opindex mfloat-ieee
25695 @itemx -mfloat-ieee
25696 Generate code that uses (does not use) VAX F and G floating-point
25697 arithmetic instead of IEEE single and double precision.
25699 @opindex mexplicit-relocs
25700 @opindex mno-explicit-relocs
25701 @item -mexplicit-relocs
25702 @itemx -mno-explicit-relocs
25703 Older Alpha assemblers provided no way to generate symbol relocations
25704 except via assembler macros. Use of these macros does not allow
25705 optimal instruction scheduling. GNU binutils as of version 2.12
25706 supports a new syntax that allows the compiler to explicitly mark
25707 which relocations should apply to which instructions. This option
25708 is mostly useful for debugging, as GCC detects the capabilities of
25709 the assembler when it is built and sets the default accordingly.
25711 @opindex msmall-data
25712 @opindex mlarge-data
25714 @itemx -mlarge-data
25715 When @option{-mexplicit-relocs} is in effect, static data is
25716 accessed via @dfn{gp-relative} relocations. When @option{-msmall-data}
25717 is used, objects 8 bytes long or smaller are placed in a @dfn{small data area}
25718 (the @code{.sdata} and @code{.sbss} sections) and are accessed via
25719 16-bit relocations off of the @code{$gp} register. This limits the
25720 size of the small data area to 64KB, but allows the variables to be
25721 directly accessed via a single instruction.
25723 The default is @option{-mlarge-data}. With this option the data area
25724 is limited to just below 2GB@. Programs that require more than 2GB of
25725 data must use @code{malloc} or @code{mmap} to allocate the data in the
25726 heap instead of in the program's data segment.
25728 When generating code for shared libraries, @option{-fpic} implies
25729 @option{-msmall-data} and @option{-fPIC} implies @option{-mlarge-data}.
25731 @opindex msmall-text
25732 @opindex mlarge-text
25734 @itemx -mlarge-text
25735 When @option{-msmall-text} is used, the compiler assumes that the
25736 code of the entire program (or shared library) fits in 4MB, and is
25737 thus reachable with a branch instruction. When @option{-msmall-data}
25738 is used, the compiler can assume that all local symbols share the
25739 same @code{$gp} value, and thus reduce the number of instructions
25740 required for a function call from 4 to 1.
25742 The default is @option{-mlarge-text}.
25745 @item -mcpu=@var{cpu_type}
25746 Set the instruction set and instruction scheduling parameters for
25747 machine type @var{cpu_type}. You can specify either the @samp{EV}
25748 style name or the corresponding chip number. GCC supports scheduling
25749 parameters for the EV4, EV5 and EV6 family of processors and
25750 chooses the default values for the instruction set from the processor
25751 you specify. If you do not specify a processor type, GCC defaults
25752 to the processor on which the compiler was built.
25754 Supported values for @var{cpu_type} are
25760 Schedules as an EV4 and has no instruction set extensions.
25764 Schedules as an EV5 and has no instruction set extensions.
25768 Schedules as an EV5 and supports the BWX extension.
25773 Schedules as an EV5 and supports the BWX and MAX extensions.
25777 Schedules as an EV6 and supports the BWX, FIX, and MAX extensions.
25781 Schedules as an EV6 and supports the BWX, CIX, FIX, and MAX extensions.
25784 Native toolchains also support the value @samp{native},
25785 which selects the best architecture option for the host processor.
25786 @option{-mcpu=native} has no effect if GCC does not recognize
25790 @item -mtune=@var{cpu_type}
25791 Set only the instruction scheduling parameters for machine type
25792 @var{cpu_type}. The instruction set is not changed.
25794 Native toolchains also support the value @samp{native},
25795 which selects the best architecture option for the host processor.
25796 @option{-mtune=native} has no effect if GCC does not recognize
25799 @opindex mmemory-latency
25800 @item -mmemory-latency=@var{time}
25801 Sets the latency the scheduler should assume for typical memory
25802 references as seen by the application. This number is highly
25803 dependent on the memory access patterns used by the application
25804 and the size of the external cache on the machine.
25806 Valid options for @var{time} are
25810 A decimal number representing clock cycles.
25816 The compiler contains estimates of the number of clock cycles for
25817 ``typical'' EV4 & EV5 hardware for the Level 1, 2 & 3 caches
25818 (also called Dcache, Scache, and Bcache), as well as to main memory.
25819 Note that L3 is only valid for EV5.
25825 @subsection eBPF Options
25826 @cindex eBPF Options
25829 @item -mframe-limit=@var{bytes}
25830 This specifies the hard limit for frame sizes, in bytes. Currently,
25831 the value that can be specified should be less than or equal to
25832 @samp{32767}. Defaults to whatever limit is imposed by the version of
25833 the Linux kernel targeted.
25835 @opindex mbig-endian
25837 Generate code for a big-endian target.
25839 @opindex mlittle-endian
25840 @item -mlittle-endian
25841 Generate code for a little-endian target. This is the default.
25846 Enable or disable generation of extra conditional-branch instructions.
25847 Enabled for CPU v2 and above.
25852 Enable or disable generation of 32-bit jump instructions.
25853 Enabled for CPU v3 and above.
25858 Enable or disable generation of 32-bit ALU instructions.
25859 Enabled for CPU v3 and above.
25861 @opindex mv3-atomics
25863 @itemx -mno-v3-atomics
25864 Enable or disable instructions for general atomic operations introduced
25865 in CPU v3. Enabled for CPU v3 and above.
25870 Enable or disable byte swap instructions. Enabled for CPU v4 and above.
25875 Enable or disable signed division and modulus instructions. Enabled for
25881 Enable or disable sign-extending move and memory load instructions.
25882 Enabled for CPU v4 and above.
25885 @item -mcpu=@var{version}
25886 This specifies which version of the eBPF ISA to target. Newer versions
25887 may not be supported by all kernels. The default is @samp{v4}.
25889 Supported values for @var{version} are:
25893 The first stable eBPF ISA with no special features or extensions.
25896 Supports the jump extensions, as in @option{-mjmpext}.
25899 All features of v2, plus:
25901 @item 32-bit jump operations, as in @option{-mjmp32}
25902 @item 32-bit ALU operations, as in @option{-malu32}
25903 @item general atomic operations, as in @option{-mv3-atomics}
25907 All features of v3, plus:
25909 @item Byte swap instructions, as in @option{-mbswap}
25910 @item Signed division and modulus instructions, as in @option{-msdiv}
25911 @item Sign-extending move and memory load instructions, as in @option{-msmov}
25917 Enable BPF Compile Once - Run Everywhere (CO-RE) support. Requires and
25918 is implied by @option{-gbtf}.
25922 Disable BPF Compile Once - Run Everywhere (CO-RE) support. BPF CO-RE
25923 support is enabled by default when generating BTF debug information for
25927 Generate code for an expanded version of BPF, which relaxes some of
25928 the restrictions imposed by the BPF architecture:
25930 @item Save and restore callee-saved registers at function entry and
25931 exit, respectively.
25934 @opindex masm=@var{dialect}
25935 @item -masm=@var{dialect}
25936 Outputs assembly instructions using eBPF selected @var{dialect}. The default
25939 Supported values for @var{dialect} are:
25943 Outputs normal assembly dialect.
25946 Outputs pseudo-c assembly dialect.
25950 @opindex minline-memops-threshold
25951 @item -minline-memops-threshold=@var{bytes}
25952 Specifies a size threshold in bytes at or below which memmove, memcpy
25953 and memset shall always be expanded inline. Operations dealing with
25954 sizes larger than this threshold would have to be implemented using
25955 a library call instead of being expanded inline, but since BPF doesn't
25956 allow libcalls, exceeding this threshold results in a compile-time
25957 error. The default is @samp{1024} bytes.
25962 @subsection FR30 Options
25963 @cindex FR30 Options
25965 These options are defined specifically for the FR30 port.
25969 @opindex msmall-model
25970 @item -msmall-model
25971 Use the small address space model. This can produce smaller code, but
25972 it does assume that all symbolic values and addresses fit into a
25977 Assume that runtime support has been provided and so there is no need
25978 to include the simulator library (@file{libsim.a}) on the linker
25984 @subsection FT32 Options
25985 @cindex FT32 Options
25987 These options are defined specifically for the FT32 port.
25993 Specifies that the program will be run on the simulator. This causes
25994 an alternate runtime startup and library to be linked.
25995 You must not use this option when generating programs that will run on
25996 real hardware; you must provide your own runtime library for whatever
25997 I/O functions are needed.
26001 Does nothing. Preserved for backward compatibility.
26005 Do not use div and mod instructions.
26009 Enable use of the extended instructions of the FT32B processor.
26013 Compress all code using the Ft32B code compression scheme.
26017 Do not generate code that reads program memory.
26022 @subsection FRV Options
26023 @cindex FRV Options
26029 Only use the first 32 general-purpose registers.
26034 Use all 64 general-purpose registers.
26039 Use only the first 32 floating-point registers.
26044 Use all 64 floating-point registers.
26046 @opindex mhard-float
26049 Use hardware instructions for floating-point operations.
26051 @opindex msoft-float
26054 Use library routines for floating-point operations.
26059 Dynamically allocate condition code registers.
26064 Do not try to dynamically allocate condition code registers, only
26065 use @code{icc0} and @code{fcc0}.
26070 Change ABI to use double word insns.
26076 Do not use double word instructions.
26081 Use floating-point double instructions.
26083 @opindex mno-double
26086 Do not use floating-point double instructions.
26091 Use media instructions.
26096 Do not use media instructions.
26101 Use multiply and add/subtract instructions.
26103 @opindex mno-muladd
26106 Do not use multiply and add/subtract instructions.
26111 Select the FDPIC ABI, which uses function descriptors to represent
26112 pointers to functions. Without any PIC/PIE-related options, it
26113 implies @option{-fPIE}. With @option{-fpic} or @option{-fpie}, it
26114 assumes GOT entries and small data are within a 12-bit range from the
26115 GOT base address; with @option{-fPIC} or @option{-fPIE}, GOT offsets
26116 are computed with 32 bits.
26117 With a @samp{bfin-elf} target, this option implies @option{-msim}.
26119 @opindex minline-plt
26122 Enable inlining of PLT entries in function calls to functions that are
26123 not known to bind locally. It has no effect without @option{-mfdpic}.
26124 It's enabled by default if optimizing for speed and compiling for
26125 shared libraries (i.e., @option{-fPIC} or @option{-fpic}), or when an
26126 optimization option such as @option{-O3} or above is present in the
26132 Assume a large TLS segment when generating thread-local code.
26137 Do not assume a large TLS segment when generating thread-local code.
26142 Enable the use of @code{GPREL} relocations in the FDPIC ABI for data
26143 that is known to be in read-only sections. It's enabled by default,
26144 except for @option{-fpic} or @option{-fpie}: even though it may help
26145 make the global offset table smaller, it trades 1 instruction for 4.
26146 With @option{-fPIC} or @option{-fPIE}, it trades 3 instructions for 4,
26147 one of which may be shared by multiple symbols, and it avoids the need
26148 for a GOT entry for the referenced symbol, so it's more likely to be a
26149 win. If it is not, @option{-mno-gprel-ro} can be used to disable it.
26151 @opindex multilib-library-pic
26152 @item -multilib-library-pic
26154 Link with the (library, not FD) pic libraries. It's implied by
26155 @option{-mlibrary-pic}, as well as by @option{-fPIC} and
26156 @option{-fpic} without @option{-mfdpic}. You should never have to use
26159 @opindex mlinked-fp
26162 Follow the EABI requirement of always creating a frame pointer whenever
26163 a stack frame is allocated. This option is enabled by default and can
26164 be disabled with @option{-mno-linked-fp}.
26166 @opindex mlong-calls
26169 Use indirect addressing to call functions outside the current
26170 compilation unit. This allows the functions to be placed anywhere
26171 within the 32-bit address space.
26173 @opindex malign-labels
26174 @item -malign-labels
26176 Try to align labels to an 8-byte boundary by inserting NOPs into the
26177 previous packet. This option only has an effect when VLIW packing
26178 is enabled. It doesn't create new packets; it merely adds NOPs to
26181 @opindex mlibrary-pic
26182 @item -mlibrary-pic
26184 Generate position-independent EABI code.
26189 Use only the first four media accumulator registers.
26194 Use all eight media accumulator registers.
26199 Pack VLIW instructions.
26204 Do not pack VLIW instructions.
26206 @opindex mno-eflags
26209 Do not mark ABI switches in e_flags.
26211 @opindex mcond-move
26214 Enable the use of conditional-move instructions (default).
26216 This switch is mainly for debugging the compiler and will likely be removed
26217 in a future version.
26219 @opindex mno-cond-move
26220 @item -mno-cond-move
26222 Disable the use of conditional-move instructions.
26224 This switch is mainly for debugging the compiler and will likely be removed
26225 in a future version.
26230 Enable the use of conditional set instructions (default).
26232 This switch is mainly for debugging the compiler and will likely be removed
26233 in a future version.
26238 Disable the use of conditional set instructions.
26240 This switch is mainly for debugging the compiler and will likely be removed
26241 in a future version.
26243 @opindex mcond-exec
26246 Enable the use of conditional execution (default).
26248 This switch is mainly for debugging the compiler and will likely be removed
26249 in a future version.
26251 @opindex mno-cond-exec
26252 @item -mno-cond-exec
26254 Disable the use of conditional execution.
26256 This switch is mainly for debugging the compiler and will likely be removed
26257 in a future version.
26259 @opindex mvliw-branch
26260 @item -mvliw-branch
26262 Run a pass to pack branches into VLIW instructions (default).
26264 This switch is mainly for debugging the compiler and will likely be removed
26265 in a future version.
26267 @opindex mno-vliw-branch
26268 @item -mno-vliw-branch
26270 Do not run a pass to pack branches into VLIW instructions.
26272 This switch is mainly for debugging the compiler and will likely be removed
26273 in a future version.
26275 @opindex mmulti-cond-exec
26276 @item -mmulti-cond-exec
26278 Enable optimization of @code{&&} and @code{||} in conditional execution
26281 This switch is mainly for debugging the compiler and will likely be removed
26282 in a future version.
26284 @opindex mno-multi-cond-exec
26285 @item -mno-multi-cond-exec
26287 Disable optimization of @code{&&} and @code{||} in conditional execution.
26289 This switch is mainly for debugging the compiler and will likely be removed
26290 in a future version.
26292 @opindex mnested-cond-exec
26293 @item -mnested-cond-exec
26295 Enable nested conditional execution optimizations (default).
26297 This switch is mainly for debugging the compiler and will likely be removed
26298 in a future version.
26300 @opindex mno-nested-cond-exec
26301 @item -mno-nested-cond-exec
26303 Disable nested conditional execution optimizations.
26305 This switch is mainly for debugging the compiler and will likely be removed
26306 in a future version.
26308 @opindex moptimize-membar
26309 @item -moptimize-membar
26311 This switch removes redundant @code{membar} instructions from the
26312 compiler-generated code. It is enabled by default.
26314 @opindex mno-optimize-membar
26315 @opindex moptimize-membar
26316 @item -mno-optimize-membar
26318 This switch disables the automatic removal of redundant @code{membar}
26319 instructions from the generated code.
26321 @opindex mtomcat-stats
26322 @item -mtomcat-stats
26324 Cause gas to print out tomcat statistics.
26327 @item -mcpu=@var{cpu}
26329 Select the processor type for which to generate code. Possible values are
26330 @samp{frv}, @samp{fr550}, @samp{tomcat}, @samp{fr500}, @samp{fr450},
26331 @samp{fr405}, @samp{fr400}, @samp{fr300} and @samp{simple}.
26335 @node GNU/Linux Options
26336 @subsection GNU/Linux Options
26338 These @samp{-m} options are defined for GNU/Linux targets:
26343 Use the GNU C library. This is the default except
26344 on @samp{*-*-linux-*uclibc*}, @samp{*-*-linux-*musl*} and
26345 @samp{*-*-linux-*android*} targets.
26349 Use uClibc C library. This is the default on
26350 @samp{*-*-linux-*uclibc*} targets.
26354 Use the musl C library. This is the default on
26355 @samp{*-*-linux-*musl*} targets.
26359 Use Bionic C library. This is the default on
26360 @samp{*-*-linux-*android*} targets.
26364 Compile code compatible with Android platform. This is the default on
26365 @samp{*-*-linux-*android*} targets.
26367 When compiling, this option enables @option{-mbionic}, @option{-fPIC},
26368 @option{-fno-exceptions} and @option{-fno-rtti} by default. When linking,
26369 this option makes the GCC driver pass Android-specific options to the linker.
26370 Finally, this option causes the preprocessor macro @code{__ANDROID__}
26373 @opindex tno-android-cc
26374 @item -tno-android-cc
26375 Disable compilation effects of @option{-mandroid}, i.e., do not enable
26376 @option{-mbionic}, @option{-fPIC}, @option{-fno-exceptions} and
26377 @option{-fno-rtti} by default.
26379 @opindex tno-android-ld
26380 @item -tno-android-ld
26381 Disable linking effects of @option{-mandroid}, i.e., pass standard Linux
26382 linking options to the linker.
26386 @node H8/300 Options
26387 @subsection H8/300 Options
26389 These @samp{-m} options are defined for the H8/300 implementations:
26394 Shorten some address references at link time, when possible; uses the
26395 linker option @option{-relax}. @xref{H8/300,, @code{ld} and the H8/300,
26396 ld, Using ld}, for a fuller description.
26400 Generate code for the H8/300H@.
26404 Generate code for the H8S@.
26408 Generate code for the H8S and H8/300H in the normal mode. This switch
26409 must be used either with @option{-mh} or @option{-ms}.
26413 Generate code for the H8S/2600. This switch must be used with @option{-ms}.
26417 Extended registers are stored on stack before execution of function
26418 with monitor attribute. Default option is @option{-mexr}.
26419 This option is valid only for H8S targets.
26424 Extended registers are not stored on stack before execution of function
26425 with monitor attribute. Default option is @option{-mno-exr}.
26426 This option is valid only for H8S targets.
26430 Make @code{int} data 32 bits by default.
26432 @opindex malign-300
26434 On the H8/300H and H8S, use the same alignment rules as for the H8/300.
26435 The default for the H8/300H and H8S is to align longs and floats on
26437 @option{-malign-300} causes them to be aligned on 2-byte boundaries.
26438 This option has no effect on the H8/300.
26442 @subsection HPPA Options
26443 @cindex HPPA Options
26445 These @samp{-m} options are defined for the HPPA family of computers:
26449 @item -march=@var{architecture-type}
26450 Generate code for the specified architecture. The choices for
26451 @var{architecture-type} are @samp{1.0} for PA 1.0, @samp{1.1} for PA
26452 1.1, and @samp{2.0} for PA 2.0 processors. Refer to
26453 @file{/usr/lib/sched.models} on an HP-UX system to determine the proper
26454 architecture option for your machine. Code compiled for lower numbered
26455 architectures runs on higher numbered architectures, but not the
26458 @opindex mpa-risc-1-0
26459 @opindex mpa-risc-1-1
26460 @opindex mpa-risc-2-0
26461 @item -mpa-risc-1-0
26462 @itemx -mpa-risc-1-1
26463 @itemx -mpa-risc-2-0
26464 Synonyms for @option{-march=1.0}, @option{-march=1.1}, and @option{-march=2.0} respectively.
26466 @opindex matomic-libcalls
26467 @opindex mno-atomic-libcalls
26468 @item -matomic-libcalls
26469 Generate libcalls for atomic loads and stores when sync libcalls are disabled.
26470 This option is enabled by default. It only affects the generation of
26471 atomic libcalls by the HPPA backend.
26473 Both the sync and @file{libatomic} libcall implementations use locking.
26474 As a result, processor stores are not atomic with respect to other
26475 atomic operations. Processor loads up to DImode are atomic with
26476 respect to other atomic operations provided they are implemented as
26479 The PA-RISC architecture does not support any atomic operations in
26480 hardware except for the @code{ldcw} instruction. Thus, all atomic
26481 support is implemented using sync and atomic libcalls. Sync libcall
26482 support is in @file{libgcc.a}. Atomic libcall support is in
26485 This option generates @code{__atomic_exchange} calls for atomic stores.
26486 It also provides special handling for atomic DImode accesses on 32-bit
26489 @opindex mbig-switch
26491 Does nothing. Preserved for backward compatibility.
26493 @opindex mcaller-copies
26494 @item -mcaller-copies
26495 The caller copies function arguments passed by hidden reference. This
26496 option should be used with care as it is not compatible with the default
26497 32-bit runtime. However, only aggregates larger than eight bytes are
26498 passed by hidden reference and the option provides better compatibility
26501 @opindex mcoherent-ldcw
26502 @item -mcoherent-ldcw
26503 Use ldcw/ldcd coherent cache-control hint.
26505 @opindex mdisable-fpregs
26506 @item -mdisable-fpregs
26507 Disable floating-point registers. Equivalent to @code{-msoft-float}.
26509 @opindex mdisable-indexing
26510 @item -mdisable-indexing
26511 Prevent the compiler from using indexing address modes. This avoids some
26512 rather obscure problems when compiling MIG generated code under MACH@.
26514 @opindex mfast-indirect-calls
26515 @item -mfast-indirect-calls
26516 Generate code that assumes calls never cross space boundaries. This
26517 allows GCC to emit code that performs faster indirect calls.
26519 This option does not work in the presence of shared libraries or nested
26522 @opindex mfixed-range
26523 @item -mfixed-range=@var{register-range}
26524 Generate code treating the given register range as fixed registers.
26525 A fixed register is one that the register allocator cannot use. This is
26526 useful when compiling kernel code. A register range is specified as
26527 two registers separated by a dash. Multiple register ranges can be
26528 specified separated by a comma.
26532 Enable the use of assembler directives only GAS understands.
26536 Use options specific to GNU @command{ld}.
26537 This passes @option{-shared} to @command{ld} when
26538 building a shared library. It is the default when GCC is configured,
26539 explicitly or implicitly, with the GNU linker. This option does not
26540 affect which @command{ld} is called; it only changes what parameters
26541 are passed to that @command{ld}.
26542 The @command{ld} that is called is determined by the
26543 @option{--with-ld} configure option, GCC's program search path, and
26544 finally by the user's @env{PATH}. The linker used by GCC can be printed
26545 using @samp{which `gcc -print-prog-name=ld`}. This option is only available
26546 on the 64-bit HP-UX GCC, i.e.@: configured with @samp{hppa*64*-*-hpux*}.
26550 Use options specific to HP @command{ld}.
26551 This passes @option{-b} to @command{ld} when building
26552 a shared library and passes @option{+Accept TypeMismatch} to @command{ld} on all
26553 links. It is the default when GCC is configured, explicitly or
26554 implicitly, with the HP linker. This option does not affect
26555 which @command{ld} is called; it only changes what parameters are passed to that
26557 The @command{ld} that is called is determined by the @option{--with-ld}
26558 configure option, GCC's program search path, and finally by the user's
26559 @env{PATH}. The linker used by GCC can be printed using @samp{which
26560 `gcc -print-prog-name=ld`}. This option is only available on the 64-bit
26561 HP-UX GCC, i.e.@: configured with @samp{hppa*64*-*-hpux*}.
26563 @opindex mlinker-opt
26565 Enable the optimization pass in the HP-UX linker. Note this makes symbolic
26566 debugging impossible. It also triggers a bug in the HP-UX 8 and HP-UX 9
26567 linkers in which they give bogus error messages when linking some programs.
26569 @opindex mno-long-calls
26570 @opindex mlong-calls
26572 Generate code that uses long call sequences. This ensures that a call
26573 is always able to reach linker generated stubs. The default is to generate
26574 long calls only when the distance from the call site to the beginning
26575 of the function or translation unit, as the case may be, exceeds a
26576 predefined limit set by the branch type being used. The limits for
26577 normal calls are 7,600,000 and 240,000 bytes, respectively for the
26578 PA 2.0 and PA 1.X architectures. Sibcalls are always limited at
26581 Distances are measured from the beginning of functions when using the
26582 @option{-ffunction-sections} option, or when using the @option{-mgas}
26583 and @option{-mno-portable-runtime} options together under HP-UX with
26586 It is normally not desirable to use this option as it degrades
26587 performance. However, it may be useful in large applications,
26588 particularly when partial linking is used to build the application.
26590 The types of long calls used depends on the capabilities of the
26591 assembler and linker, and the type of code being generated. The
26592 impact on systems that support long absolute calls, and long pic
26593 symbol-difference or pc-relative calls should be relatively small.
26594 However, an indirect call is used on 32-bit ELF systems in pic code
26595 and it is quite long.
26597 @opindex mlong-load-store
26598 @item -mlong-load-store
26599 Generate 3-instruction load and store sequences as sometimes required by
26600 the HP-UX 10 linker. This is equivalent to the @samp{+k} option to
26603 @opindex mjump-in-delay
26604 @item -mjump-in-delay
26605 This option is ignored and provided for compatibility purposes only.
26607 @opindex mno-space-regs
26608 @opindex mspace-regs
26609 @item -mno-space-regs
26610 Generate code that assumes the target has no space registers. This allows
26611 GCC to generate faster indirect calls and use unscaled index address modes.
26613 Such code is suitable for level 0 PA systems and kernels.
26617 Assume memory references are ordered and barriers are not needed.
26619 @opindex mportable-runtime
26620 @item -mportable-runtime
26621 Use the portable calling conventions proposed by HP for ELF systems.
26624 @item -mschedule=@var{cpu-type}
26625 Schedule code according to the constraints for the machine type
26626 @var{cpu-type}. The choices for @var{cpu-type} are @samp{700}
26627 @samp{7100}, @samp{7100LC}, @samp{7200}, @samp{7300} and @samp{8000}. Refer
26628 to @file{/usr/lib/sched.models} on an HP-UX system to determine the
26629 proper scheduling option for your machine. The default scheduling is
26634 Generate the predefine, @code{_SIO}, for server IO@. The default is
26635 @option{-mwsio}. This generates the predefines, @code{__hp9000s700},
26636 @code{__hp9000s700__} and @code{_WSIO}, for workstation IO@. These
26637 options are available under HP-UX and HI-UX@.
26639 @opindex msoft-float
26641 Generate output containing library calls for floating point.
26642 @strong{Warning:} the requisite libraries are not available for all HPPA
26643 targets. Normally the facilities of the machine's usual C compiler are
26644 used, but this cannot be done directly in cross-compilation. You must make
26645 your own arrangements to provide suitable library functions for
26648 @option{-msoft-float} changes the calling convention in the output file;
26649 therefore, it is only useful if you compile @emph{all} of a program with
26650 this option. In particular, you need to compile @file{libgcc.a}, the
26651 library that comes with GCC, with @option{-msoft-float} in order for
26654 @opindex msoft-mult
26656 Use software integer multiplication.
26658 This disables the use of the @code{xmpyu} instruction.
26661 @item -munix=@var{unix-std}
26662 Generate compiler predefines and select a startfile for the specified
26663 UNIX standard. The choices for @var{unix-std} are @samp{93}, @samp{95}
26664 and @samp{98}. @samp{93} is supported on all HP-UX versions. @samp{95}
26665 is available on HP-UX 10.10 and later. @samp{98} is available on HP-UX
26666 11.11 and later. The default values are @samp{93} for HP-UX 10.00,
26667 @samp{95} for HP-UX 10.10 though to 11.00, and @samp{98} for HP-UX 11.11
26670 @option{-munix=93} provides the same predefines as GCC 3.3 and 3.4.
26671 @option{-munix=95} provides additional predefines for @code{XOPEN_UNIX}
26672 and @code{_XOPEN_SOURCE_EXTENDED}, and the startfile @file{unix95.o}.
26673 @option{-munix=98} provides additional predefines for @code{_XOPEN_UNIX},
26674 @code{_XOPEN_SOURCE_EXTENDED}, @code{_INCLUDE__STDC_A1_SOURCE} and
26675 @code{_INCLUDE_XOPEN_SOURCE_500}, and the startfile @file{unix98.o}.
26677 It is @emph{important} to note that this option changes the interfaces
26678 for various library routines. It also affects the operational behavior
26679 of the C library. Thus, @emph{extreme} care is needed in using this
26682 Library code that is intended to operate with more than one UNIX
26683 standard must test, set and restore the variable @code{__xpg4_extended_mask}
26684 as appropriate. Most GNU software doesn't provide this capability.
26688 Suppress the generation of link options to search libdld.sl when the
26689 @option{-static} option is specified on HP-UX 10 and later.
26693 The HP-UX implementation of setlocale in libc has a dependency on
26694 libdld.sl. There isn't an archive version of libdld.sl. Thus,
26695 when the @option{-static} option is specified, special link options
26696 are needed to resolve this dependency.
26698 On HP-UX 10 and later, the GCC driver adds the necessary options to
26699 link with libdld.sl when the @option{-static} option is specified.
26700 This causes the resulting binary to be dynamic. On the 64-bit port,
26701 the linkers generate dynamic binaries by default in any case. The
26702 @option{-nolibdld} option can be used to prevent the GCC driver from
26703 adding these link options.
26707 Add support for multithreading with the @dfn{dce thread} library
26708 under HP-UX@. This option sets flags for both the preprocessor and
26712 @node IA-64 Options
26713 @subsection IA-64 Options
26714 @cindex IA-64 Options
26716 These are the @samp{-m} options defined for the Intel IA-64 architecture.
26719 @opindex mbig-endian
26721 Generate code for a big-endian target. This is the default for HP-UX@.
26723 @opindex mlittle-endian
26724 @item -mlittle-endian
26725 Generate code for a little-endian target. This is the default for AIX5
26729 @opindex mno-gnu-as
26732 Generate (or don't) code for the GNU assembler. This is the default.
26733 @c Also, this is the default if the configure option @option{--with-gnu-as}
26737 @opindex mno-gnu-ld
26740 Generate (or don't) code for the GNU linker. This is the default.
26741 @c Also, this is the default if the configure option @option{--with-gnu-ld}
26746 Generate code that does not use a global pointer register. The result
26747 is not position independent code, and violates the IA-64 ABI@.
26749 @opindex mvolatile-asm-stop
26750 @opindex mno-volatile-asm-stop
26751 @item -mvolatile-asm-stop
26752 @itemx -mno-volatile-asm-stop
26753 Generate (or don't) a stop bit immediately before and after volatile asm
26756 @opindex mregister-names
26757 @opindex mno-register-names
26758 @item -mregister-names
26759 @itemx -mno-register-names
26760 Generate (or don't) @samp{in}, @samp{loc}, and @samp{out} register names for
26761 the stacked registers. This may make assembler output more readable.
26767 Disable (or enable) optimizations that use the small data section. This may
26768 be useful for working around optimizer bugs.
26770 @opindex mconstant-gp
26771 @item -mconstant-gp
26772 Generate code that uses a single constant global pointer value. This is
26773 useful when compiling kernel code.
26777 Generate code that is self-relocatable. This implies @option{-mconstant-gp}.
26778 This is useful when compiling firmware code.
26780 @opindex minline-float-divide-min-latency
26781 @item -minline-float-divide-min-latency
26782 Generate code for inline divides of floating-point values
26783 using the minimum latency algorithm.
26785 @opindex minline-float-divide-max-throughput
26786 @item -minline-float-divide-max-throughput
26787 Generate code for inline divides of floating-point values
26788 using the maximum throughput algorithm.
26790 @opindex mno-inline-float-divide
26791 @item -mno-inline-float-divide
26792 Do not generate inline code for divides of floating-point values.
26794 @opindex minline-int-divide-min-latency
26795 @item -minline-int-divide-min-latency
26796 Generate code for inline divides of integer values
26797 using the minimum latency algorithm.
26799 @opindex minline-int-divide-max-throughput
26800 @item -minline-int-divide-max-throughput
26801 Generate code for inline divides of integer values
26802 using the maximum throughput algorithm.
26804 @opindex mno-inline-int-divide
26805 @opindex minline-int-divide
26806 @item -mno-inline-int-divide
26807 Do not generate inline code for divides of integer values.
26809 @opindex minline-sqrt-min-latency
26810 @item -minline-sqrt-min-latency
26811 Generate code for inline square roots
26812 using the minimum latency algorithm.
26814 @opindex minline-sqrt-max-throughput
26815 @item -minline-sqrt-max-throughput
26816 Generate code for inline square roots
26817 using the maximum throughput algorithm.
26819 @opindex mno-inline-sqrt
26820 @item -mno-inline-sqrt
26821 Do not generate inline code for @code{sqrt}.
26823 @opindex mfused-madd
26824 @opindex mno-fused-madd
26826 @itemx -mno-fused-madd
26827 Do (don't) generate code that uses the fused multiply/add or multiply/subtract
26828 instructions. The default is to use these instructions.
26830 @opindex mno-dwarf2-asm
26831 @opindex mdwarf2-asm
26832 @item -mno-dwarf2-asm
26833 @itemx -mdwarf2-asm
26834 Don't (or do) generate assembler code for the DWARF line number debugging
26835 info. This may be useful when not using the GNU assembler.
26837 @opindex mearly-stop-bits
26838 @opindex mno-early-stop-bits
26839 @item -mearly-stop-bits
26840 @itemx -mno-early-stop-bits
26841 Allow stop bits to be placed earlier than immediately preceding the
26842 instruction that triggered the stop bit. This can improve instruction
26843 scheduling, but does not always do so.
26845 @opindex mfixed-range
26846 @item -mfixed-range=@var{register-range}
26847 Generate code treating the given register range as fixed registers.
26848 A fixed register is one that the register allocator cannot use. This is
26849 useful when compiling kernel code. A register range is specified as
26850 two registers separated by a dash. Multiple register ranges can be
26851 specified separated by a comma.
26854 @item -mtls-size=@var{tls-size}
26855 Specify bit size of immediate TLS offsets. Valid values are 14, 22, and
26859 @item -mtune=@var{cpu-type}
26860 Tune the instruction scheduling for a particular CPU, Valid values are
26861 @samp{itanium}, @samp{itanium1}, @samp{merced}, @samp{itanium2},
26862 and @samp{mckinley}.
26868 Generate code for a 32-bit or 64-bit environment.
26869 The 32-bit environment sets int, long and pointer to 32 bits.
26870 The 64-bit environment sets int to 32 bits and long and pointer
26871 to 64 bits. These are HP-UX specific flags.
26873 @opindex mno-sched-br-data-spec
26874 @opindex msched-br-data-spec
26875 @item -mno-sched-br-data-spec
26876 @itemx -msched-br-data-spec
26877 (Dis/En)able data speculative scheduling before reload.
26878 This results in generation of @code{ld.a} instructions and
26879 the corresponding check instructions (@code{ld.c} / @code{chk.a}).
26880 The default setting is disabled.
26882 @opindex msched-ar-data-spec
26883 @opindex mno-sched-ar-data-spec
26884 @item -msched-ar-data-spec
26885 @itemx -mno-sched-ar-data-spec
26886 (En/Dis)able data speculative scheduling after reload.
26887 This results in generation of @code{ld.a} instructions and
26888 the corresponding check instructions (@code{ld.c} / @code{chk.a}).
26889 The default setting is enabled.
26891 @opindex mno-sched-control-spec
26892 @opindex msched-control-spec
26893 @item -mno-sched-control-spec
26894 @itemx -msched-control-spec
26895 (Dis/En)able control speculative scheduling. This feature is
26896 available only during region scheduling (i.e.@: before reload).
26897 This results in generation of the @code{ld.s} instructions and
26898 the corresponding check instructions @code{chk.s}.
26899 The default setting is disabled.
26901 @opindex msched-br-in-data-spec
26902 @opindex mno-sched-br-in-data-spec
26903 @item -msched-br-in-data-spec
26904 @itemx -mno-sched-br-in-data-spec
26905 (En/Dis)able speculative scheduling of the instructions that
26906 are dependent on the data speculative loads before reload.
26907 This is effective only with @option{-msched-br-data-spec} enabled.
26908 The default setting is enabled.
26910 @opindex msched-ar-in-data-spec
26911 @opindex mno-sched-ar-in-data-spec
26912 @item -msched-ar-in-data-spec
26913 @itemx -mno-sched-ar-in-data-spec
26914 (En/Dis)able speculative scheduling of the instructions that
26915 are dependent on the data speculative loads after reload.
26916 This is effective only with @option{-msched-ar-data-spec} enabled.
26917 The default setting is enabled.
26919 @opindex msched-in-control-spec
26920 @opindex mno-sched-in-control-spec
26921 @item -msched-in-control-spec
26922 @itemx -mno-sched-in-control-spec
26923 (En/Dis)able speculative scheduling of the instructions that
26924 are dependent on the control speculative loads.
26925 This is effective only with @option{-msched-control-spec} enabled.
26926 The default setting is enabled.
26928 @opindex mno-sched-prefer-non-data-spec-insns
26929 @opindex msched-prefer-non-data-spec-insns
26930 @item -mno-sched-prefer-non-data-spec-insns
26931 @itemx -msched-prefer-non-data-spec-insns
26932 If enabled, data-speculative instructions are chosen for schedule
26933 only if there are no other choices at the moment. This makes
26934 the use of the data speculation much more conservative.
26935 The default setting is disabled.
26937 @opindex mno-sched-prefer-non-control-spec-insns
26938 @opindex msched-prefer-non-control-spec-insns
26939 @item -mno-sched-prefer-non-control-spec-insns
26940 @itemx -msched-prefer-non-control-spec-insns
26941 If enabled, control-speculative instructions are chosen for schedule
26942 only if there are no other choices at the moment. This makes
26943 the use of the control speculation much more conservative.
26944 The default setting is disabled.
26946 @opindex mno-sched-count-spec-in-critical-path
26947 @opindex msched-count-spec-in-critical-path
26948 @item -mno-sched-count-spec-in-critical-path
26949 @itemx -msched-count-spec-in-critical-path
26950 If enabled, speculative dependencies are considered during
26951 computation of the instructions priorities. This makes the use of the
26952 speculation a bit more conservative.
26953 The default setting is disabled.
26955 @opindex msched-spec-ldc
26956 @item -msched-spec-ldc
26957 Use a simple data speculation check. This option is on by default.
26959 @opindex msched-spec-ldc
26960 @item -msched-control-spec-ldc
26961 Use a simple check for control speculation. This option is on by default.
26963 @opindex msched-stop-bits-after-every-cycle
26964 @item -msched-stop-bits-after-every-cycle
26965 Place a stop bit after every cycle when scheduling. This option is on
26968 @opindex msched-fp-mem-deps-zero-cost
26969 @item -msched-fp-mem-deps-zero-cost
26970 Assume that floating-point stores and loads are not likely to cause a conflict
26971 when placed into the same instruction group. This option is disabled by
26974 @opindex msel-sched-dont-check-control-spec
26975 @item -msel-sched-dont-check-control-spec
26976 Generate checks for control speculation in selective scheduling.
26977 This flag is disabled by default.
26979 @opindex msched-max-memory-insns
26980 @item -msched-max-memory-insns=@var{max-insns}
26981 Limit on the number of memory insns per instruction group, giving lower
26982 priority to subsequent memory insns attempting to schedule in the same
26983 instruction group. Frequently useful to prevent cache bank conflicts.
26984 The default value is 1.
26986 @opindex msched-max-memory-insns-hard-limit
26987 @item -msched-max-memory-insns-hard-limit
26988 Makes the limit specified by @option{msched-max-memory-insns} a hard limit,
26989 disallowing more than that number in an instruction group.
26990 Otherwise, the limit is ``soft'', meaning that non-memory operations
26991 are preferred when the limit is reached, but memory operations may still
26997 @subsection LM32 Options
26998 @cindex LM32 options
27000 These @option{-m} options are defined for the LatticeMico32 architecture:
27003 @opindex mbarrel-shift-enabled
27004 @item -mbarrel-shift-enabled
27005 Enable barrel-shift instructions.
27007 @opindex mdivide-enabled
27008 @item -mdivide-enabled
27009 Enable divide and modulus instructions.
27011 @opindex multiply-enabled
27012 @item -mmultiply-enabled
27013 Enable multiply instructions.
27015 @opindex msign-extend-enabled
27016 @item -msign-extend-enabled
27017 Enable sign extend instructions.
27019 @opindex muser-enabled
27020 @item -muser-enabled
27021 Enable user-defined instructions.
27025 @node LoongArch Options
27026 @subsection LoongArch Options
27027 @cindex LoongArch Options
27029 These command-line options are defined for LoongArch targets:
27033 @item -march=@var{arch-type}
27034 Generate instructions for the machine type @var{arch-type}.
27035 @option{-march=@var{arch-type}} allows GCC to generate code that
27036 may not run at all on processors other than the one indicated.
27038 The choices for @var{arch-type} are:
27042 Local processor type detected by the native compiler.
27044 Generic LoongArch 64-bit processor.
27046 LoongArch LA464-based processor with LSX, LASX.
27048 LoongArch LA664-based processor with LSX, LASX
27049 and all LoongArch v1.1 instructions.
27051 LoongArch64 ISA version 1.0.
27053 LoongArch64 ISA version 1.1.
27056 More information about LoongArch ISA versions can be found at
27057 @uref{https://github.com/loongson/la-toolchain-conventions}.
27060 @item -mtune=@var{tune-type}
27061 Optimize the generated code for the given processor target.
27063 The choices for @var{tune-type} are:
27067 Local processor type detected by the native compiler.
27069 Generic LoongArch processor.
27071 Generic LoongArch 64-bit processor.
27073 LoongArch LA464 core.
27075 LoongArch LA664 core.
27080 @item -mabi=@var{base-abi-type}
27081 Generate code for the specified calling convention.
27082 @var{base-abi-type} can be one of:
27085 Uses 64-bit general purpose registers and 32/64-bit floating-point
27086 registers for parameter passing. Data model is LP64, where @samp{int}
27087 is 32 bits, while @samp{long int} and pointers are 64 bits.
27089 Uses 64-bit general purpose registers and 32-bit floating-point
27090 registers for parameter passing. Data model is LP64, where @samp{int}
27091 is 32 bits, while @samp{long int} and pointers are 64 bits.
27093 Uses 64-bit general purpose registers and no floating-point
27094 registers for parameter passing. Data model is LP64, where @samp{int}
27095 is 32 bits, while @samp{long int} and pointers are 64 bits.
27099 @item -mfpu=@var{fpu-type}
27100 Generate code for the specified FPU type, which can be one of:
27103 Allow the use of hardware floating-point instructions for 32-bit
27104 and 64-bit operations.
27106 Allow the use of hardware floating-point instructions for 32-bit
27110 Prevent the use of hardware floating-point instructions.
27114 @item -msimd=@var{simd-type}
27115 Enable generation of LoongArch SIMD instructions for vectorization
27116 and via builtin functions. The value can be one of:
27119 Enable generating instructions from the 256-bit LoongArch Advanced
27120 SIMD Extension (LASX) and the 128-bit LoongArch SIMD Extension (LSX).
27122 Enable generating instructions from the 128-bit LoongArch SIMD
27125 No LoongArch SIMD instruction may be generated.
27128 @opindex msoft-float
27130 Force @option{-mfpu=none} and prevents the use of floating-point
27131 registers for parameter passing. This option may change the target
27134 @opindex msingle-float
27135 @item -msingle-float
27136 Force @option{-mfpu=32} and allow the use of 32-bit floating-point
27137 registers for parameter passing. This option may change the target
27140 @opindex mdouble-float
27141 @item -mdouble-float
27142 Force @option{-mfpu=64} and allow the use of 32/64-bit floating-point
27143 registers for parameter passing. This option may change the target
27151 Incrementally adjust the scope of the SIMD extensions (none / LSX / LASX)
27152 that can be used by the compiler for code generation. Enabling LASX with
27153 @option{mlasx} automatically enables LSX, and diabling LSX with @option{mno-lsx}
27154 automatically disables LASX. These driver-only options act upon the final
27155 @option{msimd} configuration state and make incremental chagnes in the order
27156 they appear on the GCC driver's command line, deriving the final / canonicalized
27157 @option{msimd} option that is passed to the compiler proper.
27159 @opindex mbranch-cost
27160 @item -mbranch-cost=@var{n}
27161 Set the cost of branches to roughly @var{n} instructions.
27163 @opindex mcheck-zero-division
27164 @item -mcheck-zero-division
27165 @itemx -mno-check-zero-divison
27166 Trap (do not trap) on integer division by zero. The default is
27167 @option{-mcheck-zero-division} for @option{-O0} or @option{-Og}, and
27168 @option{-mno-check-zero-division} for other optimization levels.
27170 @opindex mcond-move-int
27171 @item -mcond-move-int
27172 @itemx -mno-cond-move-int
27173 Conditional moves for integral data in general-purpose registers
27174 are enabled (disabled). The default is @option{-mcond-move-int}.
27176 @opindex mcond-move-float
27177 @item -mcond-move-float
27178 @itemx -mno-cond-move-float
27179 Conditional moves for floating-point registers are enabled (disabled).
27180 The default is @option{-mcond-move-float}.
27185 Force (do not force) the use of @code{memcpy} for non-trivial block moves.
27186 The default is @option{-mno-memcpy}, which allows GCC to inline most
27187 constant-sized copies. Setting optimization level to @option{-Os} also
27188 forces the use of @code{memcpy}, but @option{-mno-memcpy} may override this
27189 behavior if explicitly specified, regardless of the order these options on
27192 @opindex mstrict-align
27193 @item -mstrict-align
27194 @itemx -mno-strict-align
27195 Avoid or allow generating memory accesses that may not be aligned on a natural
27196 object boundary as described in the architecture specification. The default is
27197 @option{-mno-strict-align}.
27199 @opindex msmall-data-limit
27200 @item -msmall-data-limit=@var{number}
27201 Put global and static data smaller than @var{number} bytes into a special
27202 section (on some targets). The default value is 0.
27204 @opindex mmax-inline-memcpy-size
27205 @item -mmax-inline-memcpy-size=@var{n}
27206 Inline all block moves (such as calls to @code{memcpy} or structure copies)
27207 less than or equal to @var{n} bytes. The default value of @var{n} is 1024.
27210 @item -mcmodel=@var{code-model}
27211 Set the code model to one of:
27213 @item tiny-static (Not implemented yet)
27214 @item tiny (Not implemented yet)
27217 The text segment must be within 128MB addressing space. The data segment must
27218 be within 2GB addressing space.
27221 The text segment and data segment must be within 2GB addressing space.
27223 @item large (Not implemented yet)
27226 This mode does not limit the size of the code segment and data segment.
27227 The @option{-mcmodel=extreme} option is incompatible with @option{-fplt}
27228 and/or @option{-mexplicit-relocs=none}.
27230 The default code model is @code{normal}.
27232 @item -mexplicit-relocs=@var{style}
27233 Set when to use assembler relocation operators when dealing with symbolic
27234 addresses. The alternative is to use assembler macros instead, which may
27235 limit instruction scheduling but allow linker relaxation.
27236 with @option{-mexplicit-relocs=none} the assembler macros are always used,
27237 with @option{-mexplicit-relocs=always} the assembler relocation operators
27238 are always used, with @option{-mexplicit-relocs=auto} the compiler will
27239 use the relocation operators where the linker relaxation is impossible to
27240 improve the code quality, and macros elsewhere. The default
27241 value for the option is determined with the assembler capability detected
27242 during GCC build-time and the setting of @option{-mrelax}:
27243 @option{-mexplicit-relocs=none} if the assembler does not support
27244 relocation operators at all,
27245 @option{-mexplicit-relocs=always} if the assembler supports relocation
27246 operators but @option{-mrelax} is not enabled,
27247 @option{-mexplicit-relocs=auto} if the assembler supports relocation
27248 operators and @option{-mrelax} is enabled.
27250 @opindex mexplicit-relocs
27251 @item -mexplicit-relocs
27252 An alias of @option{-mexplicit-relocs=always} for backward compatibility.
27254 @opindex mno-explicit-relocs
27255 @item -mno-explicit-relocs
27256 An alias of @option{-mexplicit-relocs=none} for backward compatibility.
27258 @opindex mdirect-extern-access
27259 @item -mdirect-extern-access
27260 @itemx -mno-direct-extern-access
27261 Do not use or use GOT to access external symbols. The default is
27262 @option{-mno-direct-extern-access}: GOT is used for external symbols with
27263 default visibility, but not used for other external symbols.
27265 With @option{-mdirect-extern-access}, GOT is not used and all external
27266 symbols are PC-relatively addressed. It is @strong{only} suitable for
27267 environments where no dynamic link is performed, like firmwares, OS
27268 kernels, executables linked with @option{-static} or @option{-static-pie}.
27269 @option{-mdirect-extern-access} is not compatible with @option{-fPIC} or
27276 Take (do not take) advantage of linker relaxations. If
27277 @option{-mpass-mrelax-to-as} is enabled, this option is also passed to
27278 the assembler. The default is determined during GCC build-time by
27279 detecting corresponding assembler support:
27280 @option{-mrelax} if the assembler supports both the @option{-mrelax}
27281 option and the conditional branch relaxation (it's required or the
27282 @code{.align} directives and conditional branch instructions in the
27283 assembly code outputted by GCC may be rejected by the assembler because
27284 of a relocation overflow), @option{-mno-relax} otherwise.
27286 @opindex mpass-mrelax-to-as
27287 @opindex mno-pass-mrelax-to-as
27288 @item -mpass-mrelax-to-as
27289 @itemx -mno-pass-mrelax-to-as
27290 Pass (do not pass) the @option{-mrelax} or @option{-mno-relax} option
27291 to the assembler. The default is determined during GCC build-time by
27292 detecting corresponding assembler support:
27293 @option{-mpass-mrelax-to-as} if the assembler supports the
27294 @option{-mrelax} option, @option{-mno-pass-mrelax-to-as} otherwise.
27295 This option is mostly useful for debugging, or interoperation with
27296 assemblers different from the build-time one.
27300 This option enables use of the reciprocal estimate and reciprocal square
27301 root estimate instructions with additional Newton-Raphson steps to increase
27302 precision instead of doing a divide or square root and divide for
27303 floating-point arguments.
27304 These instructions are generated only when @option{-funsafe-math-optimizations}
27305 is enabled together with @option{-ffinite-math-only} and
27306 @option{-fno-trapping-math}.
27307 This option is off by default. Before you can use this option, you must sure the
27308 target CPU supports frecipe and frsqrte instructions.
27309 Note that while the throughput of the sequence is higher than the throughput of
27310 the non-reciprocal instruction, the precision of the sequence can be decreased
27311 by up to 2 ulp (i.e. the inverse of 1.0 equals 0.99999994).
27313 @opindex mrecip=opt
27314 @item -mrecip=@var{opt}
27315 This option controls which reciprocal estimate instructions
27316 may be used. @var{opt} is a comma-separated list of options, which may
27317 be preceded by a @samp{!} to invert the option:
27321 Enable all estimate instructions.
27324 Enable the default instructions, equivalent to @option{-mrecip}.
27327 Disable all estimate instructions, equivalent to @option{-mno-recip}.
27330 Enable the approximation for scalar division.
27333 Enable the approximation for vectorized division.
27336 Enable the approximation for scalar square root.
27339 Enable the approximation for vectorized square root.
27342 Enable the approximation for scalar reciprocal square root.
27345 Enable the approximation for vectorized reciprocal square root.
27348 So, for example, @option{-mrecip=all,!sqrt} enables
27349 all of the reciprocal approximations, except for scalar square root.
27352 @opindex mno-frecipe
27354 @itemx -mno-frecipe
27355 Use (do not use) @code{frecipe.@{s/d@}} and @code{frsqrte.@{s/d@}}
27356 instructions. When build with @option{-march=la664}, it is enabled by default.
27357 The default is @option{-mno-frecipe}.
27363 Use (do not use) @code{div.w[u]} and @code{mod.w[u]} instructions with input
27364 not sign-extended. When build with @option{-march=la664}, it is enabled by
27365 default. The default is @option{-mno-div32}.
27368 @opindex mno-lam-bh
27371 Use (do not use) @code{am@{swap/add@}[_db].@{b/h@}} instructions. When build
27372 with @option{-march=la664}, it is enabled by default. The default is
27373 @option{-mno-lam-bh}.
27376 @opindex mno-lamcas
27379 Use (do not use) @code{amcas[_db].@{b/h/w/d@}} instructions. When build with
27380 @option{-march=la664}, it is enabled by default. The default is
27381 @option{-mno-lamcas}.
27383 @opindex mld-seq-sa
27384 @opindex mno-ld-seq-sa
27386 @itemx -mno-ld-seq-sa
27387 Whether a load-load barrier (@code{dbar 0x700}) is needed. When build with
27388 @option{-march=la664}, it is enabled by default. The default is
27389 @option{-mno-ld-seq-sa}, the load-load barrier is needed.
27391 @opindex mtls-dialect
27392 @item -mtls-dialect=@var{opt}
27393 This option controls which tls dialect may be used for general dynamic and
27394 local dynamic TLS models.
27396 @opindex mannotate-tablejump
27397 @opindex mno-annotate-tablejump
27398 @item -mannotate-tablejump
27399 @itemx -mno-annotate-tablejump
27400 Create an annotation section @code{.discard.tablejump_annotate} to
27401 correlate the @code{jirl} instruction and the jump table when a jump
27402 table is used to optimize the @code{switch} statement. Some external
27403 tools, for example @file{objtool} of the Linux kernel building system,
27404 need the annotation to analysis the control flow. The default is
27405 @option{-mno-annotate-tablejump}.
27409 Use traditional TLS. This is the default.
27412 Use TLS descriptors.
27415 @item --param loongarch-vect-unroll-limit=@var{n}
27416 The vectorizer will use available tuning information to determine whether it
27417 would be beneficial to unroll the main vectorized loop and by how much. This
27418 parameter set's the upper bound of how much the vectorizer will unroll the main
27419 loop. The default value is six.
27425 @subsection M32C Options
27426 @cindex M32C options
27430 @item -mcpu=@var{name}
27431 Select the CPU for which code is generated. @var{name} may be one of
27432 @samp{r8c} for the R8C/Tiny series, @samp{m16c} for the M16C (up to
27433 /60) series, @samp{m32cm} for the M16C/80 series, or @samp{m32c} for
27434 the M32C/80 series.
27438 Specifies that the program will be run on the simulator. This causes
27439 an alternate runtime library to be linked in which supports, for
27440 example, file I/O@. You must not use this option when generating
27441 programs that will run on real hardware; you must provide your own
27442 runtime library for whatever I/O functions are needed.
27445 @item -memregs=@var{number}
27446 Specifies the number of memory-based pseudo-registers GCC uses
27447 during code generation. These pseudo-registers are used like real
27448 registers, so there is a tradeoff between GCC's ability to fit the
27449 code into available registers, and the performance penalty of using
27450 memory instead of registers. Note that all modules in a program must
27451 be compiled with the same value for this option. Because of that, you
27452 must not use this option with GCC's default runtime libraries.
27456 @node M32R/D Options
27457 @subsection M32R/D Options
27458 @cindex M32R/D options
27460 These @option{-m} options are defined for Renesas M32R/D architectures:
27465 Generate code for the M32R/2@.
27469 Generate code for the M32R/X@.
27473 Generate code for the M32R@. This is the default.
27475 @opindex mmodel=small
27476 @item -mmodel=small
27477 Assume all objects live in the lower 16MB of memory (so that their addresses
27478 can be loaded with the @code{ld24} instruction), and assume all subroutines
27479 are reachable with the @code{bl} instruction.
27480 This is the default.
27482 The addressability of a particular object can be set with the
27483 @code{model} attribute.
27485 @opindex mmodel=medium
27486 @item -mmodel=medium
27487 Assume objects may be anywhere in the 32-bit address space (the compiler
27488 generates @code{seth/add3} instructions to load their addresses), and
27489 assume all subroutines are reachable with the @code{bl} instruction.
27491 @opindex mmodel=large
27492 @item -mmodel=large
27493 Assume objects may be anywhere in the 32-bit address space (the compiler
27494 generates @code{seth/add3} instructions to load their addresses), and
27495 assume subroutines may not be reachable with the @code{bl} instruction
27496 (the compiler generates the much slower @code{seth/add3/jl}
27497 instruction sequence).
27499 @opindex msdata=none
27501 Disable use of the small data area. Variables are put into
27502 one of @code{.data}, @code{.bss}, or @code{.rodata} (unless the
27503 @code{section} attribute has been specified).
27504 This is the default.
27506 The small data area consists of sections @code{.sdata} and @code{.sbss}.
27507 Objects may be explicitly put in the small data area with the
27508 @code{section} attribute using one of these sections.
27510 @opindex msdata=sdata
27511 @item -msdata=sdata
27512 Put small global and static data in the small data area, but do not
27513 generate special code to reference them.
27515 @opindex msdata=use
27517 Put small global and static data in the small data area, and generate
27518 special instructions to reference them.
27521 @cindex smaller data references
27523 Put global and static objects less than or equal to @var{num} bytes
27524 into the small data or BSS sections instead of the normal data or BSS
27525 sections. The default value of @var{num} is 8.
27526 The @option{-msdata} option must be set to one of @samp{sdata} or @samp{use}
27527 for this option to have any effect.
27529 All modules should be compiled with the same @option{-G @var{num}} value.
27530 Compiling with different values of @var{num} may or may not work; if it
27531 doesn't the linker gives an error message---incorrect code is not
27536 Makes the M32R-specific code in the compiler display some statistics
27537 that might help in debugging programs.
27539 @opindex malign-loops
27540 @item -malign-loops
27541 Align all loops to a 32-byte boundary.
27543 @opindex mno-align-loops
27544 @item -mno-align-loops
27545 Do not enforce a 32-byte alignment for loops. This is the default.
27547 @opindex missue-rate=@var{number}
27548 @item -missue-rate=@var{number}
27549 Issue @var{number} instructions per cycle. @var{number} can only be 1
27552 @opindex mbranch-cost=@var{number}
27553 @item -mbranch-cost=@var{number}
27554 @var{number} can only be 1 or 2. If it is 1 then branches are
27555 preferred over conditional code, if it is 2, then the opposite applies.
27557 @opindex mflush-trap=@var{number}
27558 @item -mflush-trap=@var{number}
27559 Specifies the trap number to use to flush the cache. The default is
27560 12. Valid numbers are between 0 and 15 inclusive.
27562 @opindex mno-flush-trap
27563 @item -mno-flush-trap
27564 Specifies that the cache cannot be flushed by using a trap.
27566 @opindex mflush-func=@var{name}
27567 @item -mflush-func=@var{name}
27568 Specifies the name of the operating system function to call to flush
27569 the cache. The default is @samp{_flush_cache}, but a function call
27570 is only used if a trap is not available.
27572 @opindex mno-flush-func
27573 @item -mno-flush-func
27574 Indicates that there is no OS function for flushing the cache.
27578 @node M680x0 Options
27579 @subsection M680x0 Options
27580 @cindex M680x0 options
27582 These are the @samp{-m} options defined for M680x0 and ColdFire processors.
27583 The default settings depend on which architecture was selected when
27584 the compiler was configured; the defaults for the most common choices
27589 @item -march=@var{arch}
27590 Generate code for a specific M680x0 or ColdFire instruction set
27591 architecture. Permissible values of @var{arch} for M680x0
27592 architectures are: @samp{68000}, @samp{68010}, @samp{68020},
27593 @samp{68030}, @samp{68040}, @samp{68060} and @samp{cpu32}. ColdFire
27594 architectures are selected according to Freescale's ISA classification
27595 and the permissible values are: @samp{isaa}, @samp{isaaplus},
27596 @samp{isab} and @samp{isac}.
27598 GCC defines a macro @code{__mcf@var{arch}__} whenever it is generating
27599 code for a ColdFire target. The @var{arch} in this macro is one of the
27600 @option{-march} arguments given above.
27602 When used together, @option{-march} and @option{-mtune} select code
27603 that runs on a family of similar processors but that is optimized
27604 for a particular microarchitecture.
27607 @item -mcpu=@var{cpu}
27608 Generate code for a specific M680x0 or ColdFire processor.
27609 The M680x0 @var{cpu}s are: @samp{68000}, @samp{68010}, @samp{68020},
27610 @samp{68030}, @samp{68040}, @samp{68060}, @samp{68302}, @samp{68332}
27611 and @samp{cpu32}. The ColdFire @var{cpu}s are given by the table
27612 below, which also classifies the CPUs into families:
27614 @multitable @columnfractions 0.20 0.80
27615 @headitem @strong{Family} @tab @strong{@samp{-mcpu} arguments}
27616 @item @samp{51} @tab @samp{51} @samp{51ac} @samp{51ag} @samp{51cn} @samp{51em} @samp{51je} @samp{51jf} @samp{51jg} @samp{51jm} @samp{51mm} @samp{51qe} @samp{51qm}
27617 @item @samp{5206} @tab @samp{5202} @samp{5204} @samp{5206}
27618 @item @samp{5206e} @tab @samp{5206e}
27619 @item @samp{5208} @tab @samp{5207} @samp{5208}
27620 @item @samp{5211a} @tab @samp{5210a} @samp{5211a}
27621 @item @samp{5213} @tab @samp{5211} @samp{5212} @samp{5213}
27622 @item @samp{5216} @tab @samp{5214} @samp{5216}
27623 @item @samp{52235} @tab @samp{52230} @samp{52231} @samp{52232} @samp{52233} @samp{52234} @samp{52235}
27624 @item @samp{5225} @tab @samp{5224} @samp{5225}
27625 @item @samp{52259} @tab @samp{52252} @samp{52254} @samp{52255} @samp{52256} @samp{52258} @samp{52259}
27626 @item @samp{5235} @tab @samp{5232} @samp{5233} @samp{5234} @samp{5235} @samp{523x}
27627 @item @samp{5249} @tab @samp{5249}
27628 @item @samp{5250} @tab @samp{5250}
27629 @item @samp{5271} @tab @samp{5270} @samp{5271}
27630 @item @samp{5272} @tab @samp{5272}
27631 @item @samp{5275} @tab @samp{5274} @samp{5275}
27632 @item @samp{5282} @tab @samp{5280} @samp{5281} @samp{5282} @samp{528x}
27633 @item @samp{53017} @tab @samp{53011} @samp{53012} @samp{53013} @samp{53014} @samp{53015} @samp{53016} @samp{53017}
27634 @item @samp{5307} @tab @samp{5307}
27635 @item @samp{5329} @tab @samp{5327} @samp{5328} @samp{5329} @samp{532x}
27636 @item @samp{5373} @tab @samp{5372} @samp{5373} @samp{537x}
27637 @item @samp{5407} @tab @samp{5407}
27638 @item @samp{5475} @tab @samp{5470} @samp{5471} @samp{5472} @samp{5473} @samp{5474} @samp{5475} @samp{547x} @samp{5480} @samp{5481} @samp{5482} @samp{5483} @samp{5484} @samp{5485}
27641 @option{-mcpu=@var{cpu}} overrides @option{-march=@var{arch}} if
27642 @var{arch} is compatible with @var{cpu}. Other combinations of
27643 @option{-mcpu} and @option{-march} are rejected.
27645 GCC defines the macro @code{__mcf_cpu_@var{cpu}} when ColdFire target
27646 @var{cpu} is selected. It also defines @code{__mcf_family_@var{family}},
27647 where the value of @var{family} is given by the table above.
27650 @item -mtune=@var{tune}
27651 Tune the code for a particular microarchitecture within the
27652 constraints set by @option{-march} and @option{-mcpu}.
27653 The M680x0 microarchitectures are: @samp{68000}, @samp{68010},
27654 @samp{68020}, @samp{68030}, @samp{68040}, @samp{68060}
27655 and @samp{cpu32}. The ColdFire microarchitectures
27656 are: @samp{cfv1}, @samp{cfv2}, @samp{cfv3}, @samp{cfv4} and @samp{cfv4e}.
27658 You can also use @option{-mtune=68020-40} for code that needs
27659 to run relatively well on 68020, 68030 and 68040 targets.
27660 @option{-mtune=68020-60} is similar but includes 68060 targets
27661 as well. These two options select the same tuning decisions as
27662 @option{-m68020-40} and @option{-m68020-60} respectively.
27664 GCC defines the macros @code{__mc@var{arch}} and @code{__mc@var{arch}__}
27665 when tuning for 680x0 architecture @var{arch}. It also defines
27666 @code{mc@var{arch}} unless either @option{-ansi} or a non-GNU @option{-std}
27667 option is used. If GCC is tuning for a range of architectures,
27668 as selected by @option{-mtune=68020-40} or @option{-mtune=68020-60},
27669 it defines the macros for every architecture in the range.
27671 GCC also defines the macro @code{__m@var{uarch}__} when tuning for
27672 ColdFire microarchitecture @var{uarch}, where @var{uarch} is one
27673 of the arguments given above.
27679 Generate output for a 68000. This is the default
27680 when the compiler is configured for 68000-based systems.
27681 It is equivalent to @option{-march=68000}.
27683 Use this option for microcontrollers with a 68000 or EC000 core,
27684 including the 68008, 68302, 68306, 68307, 68322, 68328 and 68356.
27688 Generate output for a 68010. This is the default
27689 when the compiler is configured for 68010-based systems.
27690 It is equivalent to @option{-march=68010}.
27696 Generate output for a 68020. This is the default
27697 when the compiler is configured for 68020-based systems.
27698 It is equivalent to @option{-march=68020}.
27702 Generate output for a 68030. This is the default when the compiler is
27703 configured for 68030-based systems. It is equivalent to
27704 @option{-march=68030}.
27708 Generate output for a 68040. This is the default when the compiler is
27709 configured for 68040-based systems. It is equivalent to
27710 @option{-march=68040}.
27712 This option inhibits the use of 68881/68882 instructions that have to be
27713 emulated by software on the 68040. Use this option if your 68040 does not
27714 have code to emulate those instructions.
27718 Generate output for a 68060. This is the default when the compiler is
27719 configured for 68060-based systems. It is equivalent to
27720 @option{-march=68060}.
27722 This option inhibits the use of 68020 and 68881/68882 instructions that
27723 have to be emulated by software on the 68060. Use this option if your 68060
27724 does not have code to emulate those instructions.
27728 Generate output for a CPU32. This is the default
27729 when the compiler is configured for CPU32-based systems.
27730 It is equivalent to @option{-march=cpu32}.
27732 Use this option for microcontrollers with a
27733 CPU32 or CPU32+ core, including the 68330, 68331, 68332, 68333, 68334,
27734 68336, 68340, 68341, 68349 and 68360.
27738 Generate output for a 520X ColdFire CPU@. This is the default
27739 when the compiler is configured for 520X-based systems.
27740 It is equivalent to @option{-mcpu=5206}, and is now deprecated
27741 in favor of that option.
27743 Use this option for microcontroller with a 5200 core, including
27744 the MCF5202, MCF5203, MCF5204 and MCF5206.
27748 Generate output for a 5206e ColdFire CPU@. The option is now
27749 deprecated in favor of the equivalent @option{-mcpu=5206e}.
27753 Generate output for a member of the ColdFire 528X family.
27754 The option is now deprecated in favor of the equivalent
27755 @option{-mcpu=528x}.
27759 Generate output for a ColdFire 5307 CPU@. The option is now deprecated
27760 in favor of the equivalent @option{-mcpu=5307}.
27764 Generate output for a ColdFire 5407 CPU@. The option is now deprecated
27765 in favor of the equivalent @option{-mcpu=5407}.
27769 Generate output for a ColdFire V4e family CPU (e.g.@: 547x/548x).
27770 This includes use of hardware floating-point instructions.
27771 The option is equivalent to @option{-mcpu=547x}, and is now
27772 deprecated in favor of that option.
27776 Generate output for a 68040, without using any of the new instructions.
27777 This results in code that can run relatively efficiently on either a
27778 68020/68881 or a 68030 or a 68040. The generated code does use the
27779 68881 instructions that are emulated on the 68040.
27781 The option is equivalent to @option{-march=68020} @option{-mtune=68020-40}.
27785 Generate output for a 68060, without using any of the new instructions.
27786 This results in code that can run relatively efficiently on either a
27787 68020/68881 or a 68030 or a 68040. The generated code does use the
27788 68881 instructions that are emulated on the 68060.
27790 The option is equivalent to @option{-march=68020} @option{-mtune=68020-60}.
27792 @opindex mhard-float
27796 Generate floating-point instructions. This is the default for 68020
27797 and above, and for ColdFire devices that have an FPU@. It defines the
27798 macro @code{__HAVE_68881__} on M680x0 targets and @code{__mcffpu__}
27799 on ColdFire targets.
27801 @opindex msoft-float
27803 Do not generate floating-point instructions; use library calls instead.
27804 This is the default for 68000, 68010, and 68832 targets. It is also
27805 the default for ColdFire devices that have no FPU.
27811 Generate (do not generate) ColdFire hardware divide and remainder
27812 instructions. If @option{-march} is used without @option{-mcpu},
27813 the default is ``on'' for ColdFire architectures and ``off'' for M680x0
27814 architectures. Otherwise, the default is taken from the target CPU
27815 (either the default CPU, or the one specified by @option{-mcpu}). For
27816 example, the default is ``off'' for @option{-mcpu=5206} and ``on'' for
27817 @option{-mcpu=5206e}.
27819 GCC defines the macro @code{__mcfhwdiv__} when this option is enabled.
27823 Consider type @code{int} to be 16 bits wide, like @code{short int}.
27824 Additionally, parameters passed on the stack are also aligned to a
27825 16-bit boundary even on targets whose API mandates promotion to 32-bit.
27829 Do not consider type @code{int} to be 16 bits wide. This is the default.
27831 @opindex mnobitfield
27832 @opindex mno-bitfield
27834 @itemx -mno-bitfield
27835 Do not use the bit-field instructions. The @option{-m68000}, @option{-mcpu32}
27836 and @option{-m5200} options imply @w{@option{-mnobitfield}}.
27840 Do use the bit-field instructions. The @option{-m68020} option implies
27841 @option{-mbitfield}. This is the default if you use a configuration
27842 designed for a 68020.
27846 Use a different function-calling convention, in which functions
27847 that take a fixed number of arguments return with the @code{rtd}
27848 instruction, which pops their arguments while returning. This
27849 saves one instruction in the caller since there is no need to pop
27850 the arguments there.
27852 This calling convention is incompatible with the one normally
27853 used on Unix, so you cannot use it if you need to call libraries
27854 compiled with the Unix compiler.
27856 Also, you must provide function prototypes for all functions that
27857 take variable numbers of arguments (including @code{printf});
27858 otherwise incorrect code is generated for calls to those
27861 In addition, seriously incorrect code results if you call a
27862 function with too many arguments. (Normally, extra arguments are
27863 harmlessly ignored.)
27865 The @code{rtd} instruction is supported by the 68010, 68020, 68030,
27866 68040, 68060 and CPU32 processors, but not by the 68000 or 5200.
27868 The default is @option{-mno-rtd}.
27870 @opindex malign-int
27871 @opindex mno-align-int
27873 @itemx -mno-align-int
27874 Control whether GCC aligns @code{int}, @code{long}, @code{long long},
27875 @code{float}, @code{double}, and @code{long double} variables on a 32-bit
27876 boundary (@option{-malign-int}) or a 16-bit boundary (@option{-mno-align-int}).
27877 Aligning variables on 32-bit boundaries produces code that runs somewhat
27878 faster on processors with 32-bit busses at the expense of more memory.
27880 @strong{Warning:} if you use the @option{-malign-int} switch, GCC
27881 aligns structures containing the above types differently than
27882 most published application binary interface specifications for the m68k.
27885 Use the pc-relative addressing mode of the 68000 directly, instead of
27886 using a global offset table. At present, this option implies @option{-fpic},
27887 allowing at most a 16-bit offset for pc-relative addressing. @option{-fPIC} is
27888 not presently supported with @option{-mpcrel}, though this could be supported for
27889 68020 and higher processors.
27891 @opindex mno-strict-align
27892 @opindex mstrict-align
27893 @item -mno-strict-align
27894 @itemx -mstrict-align
27895 Do not (do) assume that unaligned memory references are handled by
27899 Generate code that allows the data segment to be located in a different
27900 area of memory from the text segment. This allows for execute-in-place in
27901 an environment without virtual memory management. This option implies
27904 @item -mno-sep-data
27905 Generate code that assumes that the data segment follows the text segment.
27906 This is the default.
27908 @item -mid-shared-library
27909 Generate code that supports shared libraries via the library ID method.
27910 This allows for execute-in-place and shared libraries in an environment
27911 without virtual memory management. This option implies @option{-fPIC}.
27913 @item -mno-id-shared-library
27914 Generate code that doesn't assume ID-based shared libraries are being used.
27915 This is the default.
27917 @item -mshared-library-id=n
27918 Specifies the identification number of the ID-based shared library being
27919 compiled. Specifying a value of 0 generates more compact code; specifying
27920 other values forces the allocation of that number to the current
27921 library, but is no more space- or time-efficient than omitting this option.
27927 When generating position-independent code for ColdFire, generate code
27928 that works if the GOT has more than 8192 entries. This code is
27929 larger and slower than code generated without this option. On M680x0
27930 processors, this option is not needed; @option{-fPIC} suffices.
27932 GCC normally uses a single instruction to load values from the GOT@.
27933 While this is relatively efficient, it only works if the GOT
27934 is smaller than about 64k. Anything larger causes the linker
27935 to report an error such as:
27937 @cindex relocation truncated to fit (ColdFire)
27939 relocation truncated to fit: R_68K_GOT16O foobar
27942 If this happens, you should recompile your code with @option{-mxgot}.
27943 It should then work with very large GOTs. However, code generated with
27944 @option{-mxgot} is less efficient, since it takes 4 instructions to fetch
27945 the value of a global symbol.
27947 Note that some linkers, including newer versions of the GNU linker,
27948 can create multiple GOTs and sort GOT entries. If you have such a linker,
27949 you should only need to use @option{-mxgot} when compiling a single
27950 object file that accesses more than 8192 GOT entries. Very few do.
27952 These options have no effect unless GCC is generating
27953 position-independent code.
27955 @opindex mlong-jump-table-offsets
27956 @item -mlong-jump-table-offsets
27957 Use 32-bit offsets in @code{switch} tables. The default is to use
27962 @node MCore Options
27963 @subsection MCore Options
27964 @cindex MCore options
27966 These are the @samp{-m} options defined for the Motorola M*Core
27972 @opindex mno-hardlit
27974 @itemx -mno-hardlit
27975 Inline constants into the code stream if it can be done in two
27976 instructions or less.
27982 Use the divide instruction. (Enabled by default).
27984 @opindex mrelax-immediate
27985 @opindex mno-relax-immediate
27986 @item -mrelax-immediate
27987 @itemx -mno-relax-immediate
27988 Allow arbitrary-sized immediates in bit operations.
27990 @opindex mwide-bitfields
27991 @opindex mno-wide-bitfields
27992 @item -mwide-bitfields
27993 @itemx -mno-wide-bitfields
27994 Always treat bit-fields as @code{int}-sized.
27996 @opindex m4byte-functions
27997 @opindex mno-4byte-functions
27998 @item -m4byte-functions
27999 @itemx -mno-4byte-functions
28000 Force all functions to be aligned to a 4-byte boundary.
28002 @opindex mcallgraph-data
28003 @opindex mno-callgraph-data
28004 @item -mcallgraph-data
28005 @itemx -mno-callgraph-data
28006 Emit callgraph information.
28008 @opindex mslow-bytes
28009 @opindex mno-slow-bytes
28011 @itemx -mno-slow-bytes
28012 Prefer word access when reading byte quantities.
28014 @opindex mlittle-endian
28015 @opindex mbig-endian
28016 @item -mlittle-endian
28017 @itemx -mbig-endian
28018 Generate code for a little-endian target.
28024 Generate code for the 210 processor.
28028 Assume that runtime support has been provided and so omit the
28029 simulator library (@file{libsim.a)} from the linker command line.
28031 @opindex mstack-increment
28032 @item -mstack-increment=@var{size}
28033 Set the maximum amount for a single stack increment operation. Large
28034 values can increase the speed of programs that contain functions
28035 that need a large amount of stack space, but they can also trigger a
28036 segmentation fault if the stack is extended too much. The default
28041 @node MicroBlaze Options
28042 @subsection MicroBlaze Options
28043 @cindex MicroBlaze Options
28047 @opindex msoft-float
28049 Use software emulation for floating point (default).
28051 @opindex mhard-float
28053 Use hardware floating-point instructions.
28057 Do not optimize block moves, use @code{memcpy}.
28059 @opindex mno-clearbss
28060 @item -mno-clearbss
28061 This option is deprecated. Use @option{-fno-zero-initialized-in-bss} instead.
28064 @item -mcpu=@var{cpu-type}
28065 Use features of, and schedule code for, the given CPU.
28066 Supported values are in the format @samp{v@var{X}.@var{YY}.@var{Z}},
28067 where @var{X} is a major version, @var{YY} is the minor version, and
28068 @var{Z} is compatibility code. Example values are @samp{v3.00.a},
28069 @samp{v4.00.b}, @samp{v5.00.a}, @samp{v5.00.b}, @samp{v6.00.a}.
28071 @opindex mxl-soft-mul
28072 @item -mxl-soft-mul
28073 Use software multiply emulation (default).
28075 @opindex mxl-soft-div
28076 @item -mxl-soft-div
28077 Use software emulation for divides (default).
28079 @opindex mxl-barrel-shift
28080 @item -mxl-barrel-shift
28081 Use the hardware barrel shifter.
28083 @opindex mxl-pattern-compare
28084 @item -mxl-pattern-compare
28085 Use pattern compare instructions.
28087 @opindex msmall-divides
28088 @item -msmall-divides
28089 Use table lookup optimization for small signed integer divisions.
28091 @opindex mxl-stack-check
28092 @item -mxl-stack-check
28093 This option is deprecated. Use @option{-fstack-check} instead.
28095 @opindex mxl-gp-opt
28097 Use GP-relative @code{.sdata}/@code{.sbss} sections.
28099 @opindex mxl-multiply-high
28100 @item -mxl-multiply-high
28101 Use multiply high instructions for high part of 32x32 multiply.
28103 @opindex mxl-float-convert
28104 @item -mxl-float-convert
28105 Use hardware floating-point conversion instructions.
28107 @opindex mxl-float-sqrt
28108 @item -mxl-float-sqrt
28109 Use hardware floating-point square root instruction.
28111 @opindex mbig-endian
28113 Generate code for a big-endian target.
28115 @opindex mlittle-endian
28116 @item -mlittle-endian
28117 Generate code for a little-endian target.
28119 @opindex mxl-reorder
28121 Use reorder instructions (swap and byte reversed load/store).
28123 @item -mxl-mode-@var{app-model}
28124 Select application model @var{app-model}. Valid models are
28127 normal executable (default), uses startup code @file{crt0.o}.
28130 for use with Xilinx Microprocessor Debugger (XMD) based
28131 software intrusive debug agent called xmdstub. This uses startup file
28132 @file{crt1.o} and sets the start address of the program to 0x800.
28135 for applications that are loaded using a bootloader.
28136 This model uses startup file @file{crt2.o} which does not contain a processor
28137 reset vector handler. This is suitable for transferring control on a
28138 processor reset to the bootloader rather than the application.
28141 for applications that do not require any of the
28142 MicroBlaze vectors. This option may be useful for applications running
28143 within a monitoring application. This model uses @file{crt3.o} as a startup file.
28146 Option @option{-xl-mode-@var{app-model}} is a deprecated alias for
28147 @option{-mxl-mode-@var{app-model}}.
28149 @opindex mpic-data-is-text-relative
28150 @item -mpic-data-is-text-relative
28151 Assume that the displacement between the text and data segments is fixed
28152 at static link time. This allows data to be referenced by offset from start of
28153 text address instead of GOT since PC-relative addressing is not supported.
28158 @subsection MIPS Options
28159 @cindex MIPS options
28165 Generate big-endian code.
28169 Generate little-endian code. This is the default for @samp{mips*el-*-*}
28173 @item -march=@var{arch}
28174 Generate code that runs on @var{arch}, which can be the name of a
28175 generic MIPS ISA, or the name of a particular processor.
28177 @samp{mips1}, @samp{mips2}, @samp{mips3}, @samp{mips4},
28178 @samp{mips32}, @samp{mips32r2}, @samp{mips32r3}, @samp{mips32r5},
28179 @samp{mips32r6}, @samp{mips64}, @samp{mips64r2}, @samp{mips64r3},
28180 @samp{mips64r5} and @samp{mips64r6}.
28181 The processor names are:
28182 @samp{4kc}, @samp{4km}, @samp{4kp}, @samp{4ksc},
28183 @samp{4kec}, @samp{4kem}, @samp{4kep}, @samp{4ksd},
28184 @samp{5kc}, @samp{5kf},
28186 @samp{24kc}, @samp{24kf2_1}, @samp{24kf1_1},
28187 @samp{24kec}, @samp{24kef2_1}, @samp{24kef1_1},
28188 @samp{34kc}, @samp{34kf2_1}, @samp{34kf1_1}, @samp{34kn},
28189 @samp{74kc}, @samp{74kf2_1}, @samp{74kf1_1}, @samp{74kf3_2},
28190 @samp{1004kc}, @samp{1004kf2_1}, @samp{1004kf1_1},
28191 @samp{i6400}, @samp{i6500},
28193 @samp{loongson2e}, @samp{loongson2f}, @samp{loongson3a}, @samp{gs464},
28194 @samp{gs464e}, @samp{gs264e},
28196 @samp{m14k}, @samp{m14kc}, @samp{m14ke}, @samp{m14kec},
28197 @samp{m5100}, @samp{m5101},
28198 @samp{octeon}, @samp{octeon+}, @samp{octeon2}, @samp{octeon3},
28200 @samp{p5600}, @samp{p6600},
28201 @samp{r2000}, @samp{r3000}, @samp{r3900}, @samp{r4000}, @samp{r4400},
28202 @samp{r4600}, @samp{r4650}, @samp{r4700}, @samp{r5900},
28203 @samp{r6000}, @samp{r8000},
28204 @samp{rm7000}, @samp{rm9000},
28205 @samp{r10000}, @samp{r12000}, @samp{r14000}, @samp{r16000},
28208 @samp{vr4100}, @samp{vr4111}, @samp{vr4120}, @samp{vr4130}, @samp{vr4300},
28209 @samp{vr5000}, @samp{vr5400}, @samp{vr5500},
28210 @samp{xlr} and @samp{xlp}.
28211 The special value @samp{from-abi} selects the
28212 most compatible architecture for the selected ABI (that is,
28213 @samp{mips1} for 32-bit ABIs and @samp{mips3} for 64-bit ABIs)@.
28215 The native Linux/GNU toolchain also supports the value @samp{native},
28216 which selects the best architecture option for the host processor.
28217 @option{-march=native} has no effect if GCC does not recognize
28220 In processor names, a final @samp{000} can be abbreviated as @samp{k}
28221 (for example, @option{-march=r2k}). Prefixes are optional, and
28222 @samp{vr} may be written @samp{r}.
28224 Names of the form @samp{@var{n}f2_1} refer to processors with
28225 FPUs clocked at half the rate of the core, names of the form
28226 @samp{@var{n}f1_1} refer to processors with FPUs clocked at the same
28227 rate as the core, and names of the form @samp{@var{n}f3_2} refer to
28228 processors with FPUs clocked a ratio of 3:2 with respect to the core.
28229 For compatibility reasons, @samp{@var{n}f} is accepted as a synonym
28230 for @samp{@var{n}f2_1} while @samp{@var{n}x} and @samp{@var{b}fx} are
28231 accepted as synonyms for @samp{@var{n}f1_1}.
28233 GCC defines two macros based on the value of this option. The first
28234 is @code{_MIPS_ARCH}, which gives the name of target architecture, as
28235 a string. The second has the form @code{_MIPS_ARCH_@var{foo}},
28236 where @var{foo} is the capitalized value of @code{_MIPS_ARCH}@.
28237 For example, @option{-march=r2000} sets @code{_MIPS_ARCH}
28238 to @code{"r2000"} and defines the macro @code{_MIPS_ARCH_R2000}.
28240 Note that the @code{_MIPS_ARCH} macro uses the processor names given
28241 above. In other words, it has the full prefix and does not
28242 abbreviate @samp{000} as @samp{k}. In the case of @samp{from-abi},
28243 the macro names the resolved architecture (either @code{"mips1"} or
28244 @code{"mips3"}). It names the default architecture when no
28245 @option{-march} option is given.
28248 @item -mtune=@var{arch}
28249 Optimize for @var{arch}. Among other things, this option controls
28250 the way instructions are scheduled, and the perceived cost of arithmetic
28251 operations. The list of @var{arch} values is the same as for
28254 When this option is not used, GCC optimizes for the processor
28255 specified by @option{-march}. By using @option{-march} and
28256 @option{-mtune} together, it is possible to generate code that
28257 runs on a family of processors, but optimize the code for one
28258 particular member of that family.
28260 @option{-mtune} defines the macros @code{_MIPS_TUNE} and
28261 @code{_MIPS_TUNE_@var{foo}}, which work in the same way as the
28262 @option{-march} ones described above.
28266 Equivalent to @option{-march=mips1}.
28270 Equivalent to @option{-march=mips2}.
28274 Equivalent to @option{-march=mips3}.
28278 Equivalent to @option{-march=mips4}.
28282 Equivalent to @option{-march=mips32}.
28286 Equivalent to @option{-march=mips32r3}.
28290 Equivalent to @option{-march=mips32r5}.
28294 Equivalent to @option{-march=mips32r6}.
28298 Equivalent to @option{-march=mips64}.
28302 Equivalent to @option{-march=mips64r2}.
28306 Equivalent to @option{-march=mips64r3}.
28310 Equivalent to @option{-march=mips64r5}.
28314 Equivalent to @option{-march=mips64r6}.
28317 @opindex mno-mips16
28320 Generate (do not generate) MIPS16 code. If GCC is targeting a
28321 MIPS32 or MIPS64 architecture, it makes use of the MIPS16e ASE@.
28323 MIPS16 code generation can also be controlled on a per-function basis
28324 by means of @code{mips16} and @code{nomips16} attributes.
28325 @xref{Function Attributes}, for more information.
28328 @opindex mno-mips16e2
28330 @itemx -mno-mips16e2
28331 Use (do not use) the MIPS16e2 ASE. This option modifies the behavior
28332 of the @option{-mips16} option such that it targets the MIPS16e2 ASE@.
28334 @opindex mflip-mips16
28335 @item -mflip-mips16
28336 Generate MIPS16 code on alternating functions. This option is provided
28337 for regression testing of mixed MIPS16/non-MIPS16 code generation, and is
28338 not intended for ordinary use in compiling user code.
28340 @opindex minterlink-compressed
28341 @opindex mno-interlink-compressed
28342 @item -minterlink-compressed
28343 @itemx -mno-interlink-compressed
28344 Require (do not require) that code using the standard (uncompressed) MIPS ISA
28345 be link-compatible with MIPS16 and microMIPS code, and vice versa.
28347 For example, code using the standard ISA encoding cannot jump directly
28348 to MIPS16 or microMIPS code; it must either use a call or an indirect jump.
28349 @option{-minterlink-compressed} therefore disables direct jumps unless GCC
28350 knows that the target of the jump is not compressed.
28352 @opindex minterlink-mips16
28353 @opindex mno-interlink-mips16
28354 @item -minterlink-mips16
28355 @itemx -mno-interlink-mips16
28356 Aliases of @option{-minterlink-compressed} and
28357 @option{-mno-interlink-compressed}. These options predate the microMIPS ASE
28358 and are retained for backwards compatibility.
28366 Generate code for the given ABI@.
28368 Note that the EABI has a 32-bit and a 64-bit variant. GCC normally
28369 generates 64-bit code when you select a 64-bit architecture, but you
28370 can use @option{-mgp32} to get 32-bit code instead.
28372 For information about the O64 ABI, see
28373 @uref{https://gcc.gnu.org/@/projects/@/mipso64-abi.html}.
28375 GCC supports a variant of the o32 ABI in which floating-point registers
28376 are 64 rather than 32 bits wide. You can select this combination with
28377 @option{-mabi=32} @option{-mfp64}. This ABI relies on the @code{mthc1}
28378 and @code{mfhc1} instructions and is therefore only supported for
28379 MIPS32R2, MIPS32R3 and MIPS32R5 processors.
28381 The register assignments for arguments and return values remain the
28382 same, but each scalar value is passed in a single 64-bit register
28383 rather than a pair of 32-bit registers. For example, scalar
28384 floating-point values are returned in @samp{$f0} only, not a
28385 @samp{$f0}/@samp{$f1} pair. The set of call-saved registers also
28386 remains the same in that the even-numbered double-precision registers
28389 Two additional variants of the o32 ABI are supported to enable
28390 a transition from 32-bit to 64-bit registers. These are FPXX
28391 (@option{-mfpxx}) and FP64A (@option{-mfp64} @option{-mno-odd-spreg}).
28392 The FPXX extension mandates that all code must execute correctly
28393 when run using 32-bit or 64-bit registers. The code can be interlinked
28394 with either FP32 or FP64, but not both.
28395 The FP64A extension is similar to the FP64 extension but forbids the
28396 use of odd-numbered single-precision registers. This can be used
28397 in conjunction with the @code{FRE} mode of FPUs in MIPS32R5
28398 processors and allows both FP32 and FP64A code to interlink and
28399 run in the same process without changing FPU modes.
28402 @opindex mno-abicalls
28404 @itemx -mno-abicalls
28405 Generate (do not generate) code that is suitable for SVR4-style
28406 dynamic objects. @option{-mabicalls} is the default for SVR4-based
28411 Generate (do not generate) code that is fully position-independent,
28412 and that can therefore be linked into shared libraries. This option
28413 only affects @option{-mabicalls}.
28415 All @option{-mabicalls} code has traditionally been position-independent,
28416 regardless of options like @option{-fPIC} and @option{-fpic}. However,
28417 as an extension, the GNU toolchain allows executables to use absolute
28418 accesses for locally-binding symbols. It can also use shorter GP
28419 initialization sequences and generate direct calls to locally-defined
28420 functions. This mode is selected by @option{-mno-shared}.
28422 @option{-mno-shared} depends on binutils 2.16 or higher and generates
28423 objects that can only be linked by the GNU linker. However, the option
28424 does not affect the ABI of the final executable; it only affects the ABI
28425 of relocatable objects. Using @option{-mno-shared} generally makes
28426 executables both smaller and quicker.
28428 @option{-mshared} is the default.
28434 Assume (do not assume) that the static and dynamic linkers
28435 support PLTs and copy relocations. This option only affects
28436 @option{-mno-shared -mabicalls}. For the n64 ABI, this option
28437 has no effect without @option{-msym32}.
28439 You can make @option{-mplt} the default by configuring
28440 GCC with @option{--with-mips-plt}. The default is
28441 @option{-mno-plt} otherwise.
28447 Lift (do not lift) the usual restrictions on the size of the global
28450 GCC normally uses a single instruction to load values from the GOT@.
28451 While this is relatively efficient, it only works if the GOT
28452 is smaller than about 64k. Anything larger causes the linker
28453 to report an error such as:
28455 @cindex relocation truncated to fit (MIPS)
28457 relocation truncated to fit: R_MIPS_GOT16 foobar
28460 If this happens, you should recompile your code with @option{-mxgot}.
28461 This works with very large GOTs, although the code is also
28462 less efficient, since it takes three instructions to fetch the
28463 value of a global symbol.
28465 Note that some linkers can create multiple GOTs. If you have such a
28466 linker, you should only need to use @option{-mxgot} when a single object
28467 file accesses more than 64k's worth of GOT entries. Very few do.
28469 These options have no effect unless GCC is generating position
28474 Assume that general-purpose registers are 32 bits wide.
28478 Assume that general-purpose registers are 64 bits wide.
28482 Assume that floating-point registers are 32 bits wide.
28486 Assume that floating-point registers are 64 bits wide.
28490 Do not assume the width of floating-point registers.
28492 @opindex mhard-float
28494 Use floating-point coprocessor instructions.
28496 @opindex msoft-float
28498 Do not use floating-point coprocessor instructions. Implement
28499 floating-point calculations using library calls instead.
28503 Equivalent to @option{-msoft-float}, but additionally asserts that the
28504 program being compiled does not perform any floating-point operations.
28505 This option is presently supported only by some bare-metal MIPS
28506 configurations, where it may select a special set of libraries
28507 that lack all floating-point support (including, for example, the
28508 floating-point @code{printf} formats).
28509 If code compiled with @option{-mno-float} accidentally contains
28510 floating-point operations, it is likely to suffer a link-time
28511 or run-time failure.
28513 @opindex msingle-float
28514 @item -msingle-float
28515 Assume that the floating-point coprocessor only supports single-precision
28518 @opindex mdouble-float
28519 @item -mdouble-float
28520 Assume that the floating-point coprocessor supports double-precision
28521 operations. This is the default.
28523 @opindex modd-spreg
28524 @opindex mno-odd-spreg
28526 @itemx -mno-odd-spreg
28527 Enable the use of odd-numbered single-precision floating-point registers
28528 for the o32 ABI. This is the default for processors that are known to
28529 support these registers. When using the o32 FPXX ABI, @option{-mno-odd-spreg}
28533 @opindex mabs=legacy
28535 @itemx -mabs=legacy
28536 These options control the treatment of the special not-a-number (NaN)
28537 IEEE 754 floating-point data with the @code{abs.@i{fmt}} and
28538 @code{neg.@i{fmt}} machine instructions.
28540 By default or when @option{-mabs=legacy} is used the legacy
28541 treatment is selected. In this case these instructions are considered
28542 arithmetic and avoided where correct operation is required and the
28543 input operand might be a NaN. A longer sequence of instructions that
28544 manipulate the sign bit of floating-point datum manually is used
28545 instead unless the @option{-ffinite-math-only} option has also been
28548 The @option{-mabs=2008} option selects the IEEE 754-2008 treatment. In
28549 this case these instructions are considered non-arithmetic and therefore
28550 operating correctly in all cases, including in particular where the
28551 input operand is a NaN. These instructions are therefore always used
28552 for the respective operations.
28555 @opindex mnan=legacy
28557 @itemx -mnan=legacy
28558 These options control the encoding of the special not-a-number (NaN)
28559 IEEE 754 floating-point data.
28561 The @option{-mnan=legacy} option selects the legacy encoding. In this
28562 case quiet NaNs (qNaNs) are denoted by the first bit of their trailing
28563 significand field being 0, whereas signaling NaNs (sNaNs) are denoted
28564 by the first bit of their trailing significand field being 1.
28566 The @option{-mnan=2008} option selects the IEEE 754-2008 encoding. In
28567 this case qNaNs are denoted by the first bit of their trailing
28568 significand field being 1, whereas sNaNs are denoted by the first bit of
28569 their trailing significand field being 0.
28571 The default is @option{-mnan=legacy} unless GCC has been configured with
28572 @option{--with-nan=2008}.
28578 Use (do not use) @samp{ll}, @samp{sc}, and @samp{sync} instructions to
28579 implement atomic memory built-in functions. When neither option is
28580 specified, GCC uses the instructions if the target architecture
28583 @option{-mllsc} is useful if the runtime environment can emulate the
28584 instructions and @option{-mno-llsc} can be useful when compiling for
28585 nonstandard ISAs. You can make either option the default by
28586 configuring GCC with @option{--with-llsc} and @option{--without-llsc}
28587 respectively. @option{--with-llsc} is the default for some
28588 configurations; see the installation documentation for details.
28594 Use (do not use) revision 1 of the MIPS DSP ASE@.
28595 @xref{MIPS DSP Built-in Functions}. This option defines the
28596 preprocessor macro @code{__mips_dsp}. It also defines
28597 @code{__mips_dsp_rev} to 1.
28603 Use (do not use) revision 2 of the MIPS DSP ASE@.
28604 @xref{MIPS DSP Built-in Functions}. This option defines the
28605 preprocessor macros @code{__mips_dsp} and @code{__mips_dspr2}.
28606 It also defines @code{__mips_dsp_rev} to 2.
28608 @opindex msmartmips
28609 @opindex mno-smartmips
28611 @itemx -mno-smartmips
28612 Use (do not use) the MIPS SmartMIPS ASE.
28614 @opindex mpaired-single
28615 @opindex mno-paired-single
28616 @item -mpaired-single
28617 @itemx -mno-paired-single
28618 Use (do not use) paired-single floating-point instructions.
28619 @xref{MIPS Paired-Single Support}. This option requires
28620 hardware floating-point support to be enabled.
28626 Use (do not use) MIPS Digital Media Extension instructions.
28627 This option can only be used when generating 64-bit code and requires
28628 hardware floating-point support to be enabled.
28631 @opindex mno-mips3d
28634 Use (do not use) the MIPS-3D ASE@. @xref{MIPS-3D Built-in Functions}.
28635 The option @option{-mips3d} implies @option{-mpaired-single}.
28637 @opindex mmicromips
28638 @opindex mno-mmicromips
28640 @itemx -mno-micromips
28641 Generate (do not generate) microMIPS code.
28643 MicroMIPS code generation can also be controlled on a per-function basis
28644 by means of @code{micromips} and @code{nomicromips} attributes.
28645 @xref{Function Attributes}, for more information.
28651 Use (do not use) MT Multithreading instructions.
28657 Use (do not use) the MIPS MCU ASE instructions.
28663 Use (do not use) the MIPS Enhanced Virtual Addressing instructions.
28669 Use (do not use) the MIPS Virtualization (VZ) instructions.
28675 Use (do not use) the MIPS eXtended Physical Address (XPA) instructions.
28681 Use (do not use) the MIPS Cyclic Redundancy Check (CRC) instructions.
28687 Use (do not use) the MIPS Global INValidate (GINV) instructions.
28689 @opindex mloongson-mmi
28690 @opindex mno-loongson-mmi
28691 @item -mloongson-mmi
28692 @itemx -mno-loongson-mmi
28693 Use (do not use) the MIPS Loongson MultiMedia extensions Instructions (MMI).
28695 @opindex mloongson-ext
28696 @opindex mno-loongson-ext
28697 @item -mloongson-ext
28698 @itemx -mno-loongson-ext
28699 Use (do not use) the MIPS Loongson EXTensions (EXT) instructions.
28701 @opindex mloongson-ext2
28702 @opindex mno-loongson-ext2
28703 @item -mloongson-ext2
28704 @itemx -mno-loongson-ext2
28705 Use (do not use) the MIPS Loongson EXTensions r2 (EXT2) instructions.
28709 Force @code{long} types to be 64 bits wide. See @option{-mlong32} for
28710 an explanation of the default and the way that the pointer size is
28715 Force @code{long}, @code{int}, and pointer types to be 32 bits wide.
28717 The default size of @code{int}s, @code{long}s and pointers depends on
28718 the ABI@. All the supported ABIs use 32-bit @code{int}s. The n64 ABI
28719 uses 64-bit @code{long}s, as does the 64-bit EABI; the others use
28720 32-bit @code{long}s. Pointers are the same size as @code{long}s,
28721 or the same size as integer registers, whichever is smaller.
28727 Assume (do not assume) that all symbols have 32-bit values, regardless
28728 of the selected ABI@. This option is useful in combination with
28729 @option{-mabi=64} and @option{-mno-abicalls} because it allows GCC
28730 to generate shorter and faster references to symbolic addresses.
28734 Put definitions of externally-visible data in a small data section
28735 if that data is no bigger than @var{num} bytes. GCC can then generate
28736 more efficient accesses to the data; see @option{-mgpopt} for details.
28738 The default @option{-G} option depends on the configuration.
28740 @opindex mlocal-sdata
28741 @opindex mno-local-sdata
28742 @item -mlocal-sdata
28743 @itemx -mno-local-sdata
28744 Extend (do not extend) the @option{-G} behavior to local data too,
28745 such as to static variables in C@. @option{-mlocal-sdata} is the
28746 default for all configurations.
28748 If the linker complains that an application is using too much small data,
28749 you might want to try rebuilding the less performance-critical parts with
28750 @option{-mno-local-sdata}. You might also want to build large
28751 libraries with @option{-mno-local-sdata}, so that the libraries leave
28752 more room for the main program.
28754 @opindex mextern-sdata
28755 @opindex mno-extern-sdata
28756 @item -mextern-sdata
28757 @itemx -mno-extern-sdata
28758 Assume (do not assume) that externally-defined data is in
28759 a small data section if the size of that data is within the @option{-G} limit.
28760 @option{-mextern-sdata} is the default for all configurations.
28762 If you compile a module @var{Mod} with @option{-mextern-sdata} @option{-G
28763 @var{num}} @option{-mgpopt}, and @var{Mod} references a variable @var{Var}
28764 that is no bigger than @var{num} bytes, you must make sure that @var{Var}
28765 is placed in a small data section. If @var{Var} is defined by another
28766 module, you must either compile that module with a high-enough
28767 @option{-G} setting or attach a @code{section} attribute to @var{Var}'s
28768 definition. If @var{Var} is common, you must link the application
28769 with a high-enough @option{-G} setting.
28771 The easiest way of satisfying these restrictions is to compile
28772 and link every module with the same @option{-G} option. However,
28773 you may wish to build a library that supports several different
28774 small data limits. You can do this by compiling the library with
28775 the highest supported @option{-G} setting and additionally using
28776 @option{-mno-extern-sdata} to stop the library from making assumptions
28777 about externally-defined data.
28783 Use (do not use) GP-relative accesses for symbols that are known to be
28784 in a small data section; see @option{-G}, @option{-mlocal-sdata} and
28785 @option{-mextern-sdata}. @option{-mgpopt} is the default for all
28788 @option{-mno-gpopt} is useful for cases where the @code{$gp} register
28789 might not hold the value of @code{_gp}. For example, if the code is
28790 part of a library that might be used in a boot monitor, programs that
28791 call boot monitor routines pass an unknown value in @code{$gp}.
28792 (In such situations, the boot monitor itself is usually compiled
28793 with @option{-G0}.)
28795 @option{-mno-gpopt} implies @option{-mno-local-sdata} and
28796 @option{-mno-extern-sdata}.
28798 @opindex membedded-data
28799 @opindex mno-embedded-data
28800 @item -membedded-data
28801 @itemx -mno-embedded-data
28802 Allocate variables to the read-only data section first if possible, then
28803 next in the small data section if possible, otherwise in data. This gives
28804 slightly slower code than the default, but reduces the amount of RAM required
28805 when executing, and thus may be preferred for some embedded systems.
28807 @opindex muninit-const-in-rodata
28808 @opindex mno-uninit-const-in-rodata
28809 @item -muninit-const-in-rodata
28810 @itemx -mno-uninit-const-in-rodata
28811 Put uninitialized @code{const} variables in the read-only data section.
28812 This option is only meaningful in conjunction with @option{-membedded-data}.
28814 @opindex mcode-readable
28815 @item -mcode-readable=@var{setting}
28816 Specify whether GCC may generate code that reads from executable sections.
28817 There are three possible settings:
28820 @item -mcode-readable=yes
28821 Instructions may freely access executable sections. This is the
28824 @item -mcode-readable=pcrel
28825 MIPS16 PC-relative load instructions can access executable sections,
28826 but other instructions must not do so. This option is useful on 4KSc
28827 and 4KSd processors when the code TLBs have the Read Inhibit bit set.
28828 It is also useful on processors that can be configured to have a dual
28829 instruction/data SRAM interface and that, like the M4K, automatically
28830 redirect PC-relative loads to the instruction RAM.
28832 @item -mcode-readable=no
28833 Instructions must not access executable sections. This option can be
28834 useful on targets that are configured to have a dual instruction/data
28835 SRAM interface but that (unlike the M4K) do not automatically redirect
28836 PC-relative loads to the instruction RAM.
28839 @opindex msplit-addresses
28840 @opindex mno-split-addresses
28841 @item -msplit-addresses
28842 @itemx -mno-split-addresses
28843 Enable (disable) use of the @code{%hi()} and @code{%lo()} assembler
28844 relocation operators. This option has been superseded by
28845 @option{-mexplicit-relocs} but is retained for backwards compatibility.
28847 @opindex mexplicit-relocs
28848 @opindex mno-explicit-relocs
28849 @item -mexplicit-relocs=none
28850 @itemx -mexplicit-relocs=base
28851 @itemx -mexplicit-relocs=pcrel
28852 @itemx -mexplicit-relocs
28853 @itemx -mno-explicit-relocs
28854 These options control whether explicit relocs (such as %gp_rel) are used.
28855 The default value depends on the version of GAS when GCC itself was built.
28857 The @code{base} explicit-relocs support introdunced into GAS in 2001.
28858 The @code{pcrel} explicit-relocs support introdunced into GAS in 2014,
28859 which supports @code{%pcrel_hi} and @code{%pcrel_lo}.
28861 @opindex mcheck-zero-division
28862 @opindex mno-check-zero-division
28863 @item -mcheck-zero-division
28864 @itemx -mno-check-zero-division
28865 Trap (do not trap) on integer division by zero.
28867 The default is @option{-mcheck-zero-division}.
28869 @opindex mdivide-traps
28870 @opindex mdivide-breaks
28871 @item -mdivide-traps
28872 @itemx -mdivide-breaks
28873 MIPS systems check for division by zero by generating either a
28874 conditional trap or a break instruction. Using traps results in
28875 smaller code, but is only supported on MIPS II and later. Also, some
28876 versions of the Linux kernel have a bug that prevents trap from
28877 generating the proper signal (@code{SIGFPE}). Use @option{-mdivide-traps} to
28878 allow conditional traps on architectures that support them and
28879 @option{-mdivide-breaks} to force the use of breaks.
28881 The default is usually @option{-mdivide-traps}, but this can be
28882 overridden at configure time using @option{--with-divide=breaks}.
28883 Divide-by-zero checks can be completely disabled using
28884 @option{-mno-check-zero-division}.
28886 @opindex mload-store-pairs
28887 @opindex mno-load-store-pairs
28888 @item -mload-store-pairs
28889 @itemx -mno-load-store-pairs
28890 Enable (disable) an optimization that pairs consecutive load or store
28891 instructions to enable load/store bonding. This option is enabled by
28892 default but only takes effect when the selected architecture is known
28893 to support bonding.
28895 @opindex mstrict-align
28896 @opindex mno-strict-align
28897 @opindex munaligned-access
28898 @opindex mno-unaligned-access
28899 @item -mstrict-align
28900 @itemx -mno-strict-align
28901 @itemx -munaligned-access
28902 @itemx -mno-unaligned-access
28903 Disable (enable) direct unaligned access for MIPS Release 6.
28904 MIPSr6 requires load/store unaligned-access support, by hardware or
28905 trap&emulate. So @option{-mstrict-align} may be needed by kernel. The
28906 options @option{-munaligned-access} and @option{-mno-unaligned-access}
28907 are obsoleted, and only for backward-compatible.
28910 @opindex mno-memcpy
28913 Force (do not force) the use of @code{memcpy} for non-trivial block
28914 moves. The default is @option{-mno-memcpy}, which allows GCC to inline
28915 most constant-sized copies.
28917 @opindex mlong-calls
28918 @opindex mno-long-calls
28920 @itemx -mno-long-calls
28921 Disable (do not disable) use of the @code{jal} instruction. Calling
28922 functions using @code{jal} is more efficient but requires the caller
28923 and callee to be in the same 256 megabyte segment.
28925 This option has no effect on abicalls code. The default is
28926 @option{-mno-long-calls}.
28932 Enable (disable) use of the @code{mad}, @code{madu} and @code{mul}
28933 instructions, as provided by the R4650 ISA@.
28939 Enable (disable) use of the @code{madd} and @code{msub} integer
28940 instructions. The default is @option{-mimadd} on architectures
28941 that support @code{madd} and @code{msub} except for the 74k
28942 architecture where it was found to generate slower code.
28944 @opindex mfused-madd
28945 @opindex mno-fused-madd
28947 @itemx -mno-fused-madd
28948 Enable (disable) use of the floating-point multiply-accumulate
28949 instructions, when they are available. The default is
28950 @option{-mfused-madd}.
28952 On the R8000 CPU when multiply-accumulate instructions are used,
28953 the intermediate product is calculated to infinite precision
28954 and is not subject to the FCSR Flush to Zero bit. This may be
28955 undesirable in some circumstances. On other processors the result
28956 is numerically identical to the equivalent computation using
28957 separate multiply, add, subtract and negate instructions.
28961 Tell the MIPS assembler to not run its preprocessor over user
28962 assembler files (with a @samp{.s} suffix) when assembling them.
28965 @opindex mno-fix-24k
28967 @itemx -mno-fix-24k
28968 Work around the 24K E48 (lost data on stores during refill) errata.
28969 The workarounds are implemented by the assembler rather than by GCC@.
28971 @opindex mfix-r4000
28972 @opindex mno-fix-r4000
28974 @itemx -mno-fix-r4000
28975 Work around certain R4000 CPU errata:
28978 A double-word or a variable shift may give an incorrect result if executed
28979 immediately after starting an integer division.
28981 A double-word or a variable shift may give an incorrect result if executed
28982 while an integer multiplication is in progress.
28984 An integer division may give an incorrect result if started in a delay slot
28985 of a taken branch or a jump.
28988 @opindex mfix-r4400
28989 @opindex mno-fix-r4400
28991 @itemx -mno-fix-r4400
28992 Work around certain R4400 CPU errata:
28995 A double-word or a variable shift may give an incorrect result if executed
28996 immediately after starting an integer division.
28999 @opindex mfix-r10000
29000 @opindex mno-fix-r10000
29002 @itemx -mno-fix-r10000
29003 Work around certain R10000 errata:
29006 @code{ll}/@code{sc} sequences may not behave atomically on revisions
29007 prior to 3.0. They may deadlock on revisions 2.6 and earlier.
29010 This option can only be used if the target architecture supports
29011 branch-likely instructions. @option{-mfix-r10000} is the default when
29012 @option{-march=r10000} is used; @option{-mno-fix-r10000} is the default
29015 @opindex mfix-r5900
29017 @itemx -mno-fix-r5900
29018 Do not attempt to schedule the preceding instruction into the delay slot
29019 of a branch instruction placed at the end of a short loop of six
29020 instructions or fewer and always schedule a @code{nop} instruction there
29021 instead. The short loop bug under certain conditions causes loops to
29022 execute only once or twice, due to a hardware bug in the R5900 chip. The
29023 workaround is implemented by the assembler rather than by GCC@.
29025 @opindex mfix-rm7000
29027 @itemx -mno-fix-rm7000
29028 Work around the RM7000 @code{dmult}/@code{dmultu} errata. The
29029 workarounds are implemented by the assembler rather than by GCC@.
29031 @opindex mfix-vr4120
29033 @itemx -mno-fix-vr4120
29034 Work around certain VR4120 errata:
29037 @code{dmultu} does not always produce the correct result.
29039 @code{div} and @code{ddiv} do not always produce the correct result if one
29040 of the operands is negative.
29042 The workarounds for the division errata rely on special functions in
29043 @file{libgcc.a}. At present, these functions are only provided by
29044 the @code{mips64vr*-elf} configurations.
29046 Other VR4120 errata require a NOP to be inserted between certain pairs of
29047 instructions. These errata are handled by the assembler, not by GCC itself.
29049 @opindex mfix-vr4130
29051 Work around the VR4130 @code{mflo}/@code{mfhi} errata. The
29052 workarounds are implemented by the assembler rather than by GCC,
29053 although GCC avoids using @code{mflo} and @code{mfhi} if the
29054 VR4130 @code{macc}, @code{macchi}, @code{dmacc} and @code{dmacchi}
29055 instructions are available instead.
29059 @itemx -mno-fix-sb1
29060 Work around certain SB-1 CPU core errata.
29061 (This flag currently works around the SB-1 revision 2
29062 ``F1'' and ``F2'' floating-point errata.)
29064 @opindex mr10k-cache-barrier
29065 @item -mr10k-cache-barrier=@var{setting}
29066 Specify whether GCC should insert cache barriers to avoid the
29067 side effects of speculation on R10K processors.
29069 In common with many processors, the R10K tries to predict the outcome
29070 of a conditional branch and speculatively executes instructions from
29071 the ``taken'' branch. It later aborts these instructions if the
29072 predicted outcome is wrong. However, on the R10K, even aborted
29073 instructions can have side effects.
29075 This problem only affects kernel stores and, depending on the system,
29076 kernel loads. As an example, a speculatively-executed store may load
29077 the target memory into cache and mark the cache line as dirty, even if
29078 the store itself is later aborted. If a DMA operation writes to the
29079 same area of memory before the ``dirty'' line is flushed, the cached
29080 data overwrites the DMA-ed data. See the R10K processor manual
29081 for a full description, including other potential problems.
29083 One workaround is to insert cache barrier instructions before every memory
29084 access that might be speculatively executed and that might have side
29085 effects even if aborted. @option{-mr10k-cache-barrier=@var{setting}}
29086 controls GCC's implementation of this workaround. It assumes that
29087 aborted accesses to any byte in the following regions does not have
29092 the memory occupied by the current function's stack frame;
29095 the memory occupied by an incoming stack argument;
29098 the memory occupied by an object with a link-time-constant address.
29101 It is the kernel's responsibility to ensure that speculative
29102 accesses to these regions are indeed safe.
29104 If the input program contains a function declaration such as:
29110 then the implementation of @code{foo} must allow @code{j foo} and
29111 @code{jal foo} to be executed speculatively. GCC honors this
29112 restriction for functions it compiles itself. It expects non-GCC
29113 functions (such as hand-written assembly code) to do the same.
29115 The option has three forms:
29118 @item -mr10k-cache-barrier=load-store
29119 Insert a cache barrier before a load or store that might be
29120 speculatively executed and that might have side effects even
29123 @item -mr10k-cache-barrier=store
29124 Insert a cache barrier before a store that might be speculatively
29125 executed and that might have side effects even if aborted.
29127 @item -mr10k-cache-barrier=none
29128 Disable the insertion of cache barriers. This is the default setting.
29131 @opindex mflush-func
29132 @opindex mno-flush-func
29133 @item -mflush-func=@var{func}
29134 @itemx -mno-flush-func
29135 Specifies the function to call to flush the I and D caches, or to not
29136 call any such function. If called, the function must take the same
29137 arguments as the common @code{_flush_func}, that is, the address of the
29138 memory range for which the cache is being flushed, the size of the
29139 memory range, and the number 3 (to flush both caches). The default
29140 depends on the target GCC was configured for, but commonly is either
29141 @code{_flush_func} or @code{__cpu_flush}.
29143 @opindex mbranch-cost
29144 @item -mbranch-cost=@var{num}
29145 Set the cost of branches to roughly @var{num} ``simple'' instructions.
29146 This cost is only a heuristic and is not guaranteed to produce
29147 consistent results across releases. A zero cost redundantly selects
29148 the default, which is based on the @option{-mtune} setting.
29150 @opindex mbranch-likely
29151 @opindex mno-branch-likely
29152 @item -mbranch-likely
29153 @itemx -mno-branch-likely
29154 Enable or disable use of Branch Likely instructions, regardless of the
29155 default for the selected architecture. By default, Branch Likely
29156 instructions may be generated if they are supported by the selected
29157 architecture. An exception is for the MIPS32 and MIPS64 architectures
29158 and processors that implement those architectures; for those, Branch
29159 Likely instructions are not be generated by default because the MIPS32
29160 and MIPS64 architectures specifically deprecate their use.
29162 @opindex mcompact-branches=never
29163 @opindex mcompact-branches=optimal
29164 @opindex mcompact-branches=always
29165 @item -mcompact-branches=never
29166 @itemx -mcompact-branches=optimal
29167 @itemx -mcompact-branches=always
29168 These options control which form of branches will be generated. The
29169 default is @option{-mcompact-branches=optimal}.
29171 The @option{-mcompact-branches=never} option ensures that compact branch
29172 instructions will never be generated.
29174 The @option{-mcompact-branches=always} option ensures that a compact
29175 branch instruction will be generated if available for MIPS Release 6 onwards.
29176 If a compact branch instruction is not available (or pre-R6),
29177 a delay slot form of the branch will be used instead.
29179 If it is used for MIPS16/microMIPS targets, it will be just ignored now.
29180 The behaviour for MIPS16/microMIPS may change in future,
29181 since they do have some compact branch instructions.
29183 The @option{-mcompact-branches=optimal} option will cause a delay slot
29184 branch to be used if one is available in the current ISA and the delay
29185 slot is successfully filled. If the delay slot is not filled, a compact
29186 branch will be chosen if one is available.
29188 @opindex mfp-exceptions
29189 @item -mfp-exceptions
29190 @itemx -mno-fp-exceptions
29191 Specifies whether FP exceptions are enabled. This affects how
29192 FP instructions are scheduled for some processors.
29193 The default is that FP exceptions are
29196 For instance, on the SB-1, if FP exceptions are disabled, and we are emitting
29197 64-bit code, then we can use both FP pipes. Otherwise, we can only use one
29200 @opindex mvr4130-align
29201 @item -mvr4130-align
29202 @itemx -mno-vr4130-align
29203 The VR4130 pipeline is two-way superscalar, but can only issue two
29204 instructions together if the first one is 8-byte aligned. When this
29205 option is enabled, GCC aligns pairs of instructions that it
29206 thinks should execute in parallel.
29208 This option only has an effect when optimizing for the VR4130.
29209 It normally makes code faster, but at the expense of making it bigger.
29210 It is enabled by default at optimization level @option{-O3}.
29215 Enable (disable) generation of @code{synci} instructions on
29216 architectures that support it. The @code{synci} instructions (if
29217 enabled) are generated when @code{__builtin___clear_cache} is
29220 This option defaults to @option{-mno-synci}, but the default can be
29221 overridden by configuring GCC with @option{--with-synci}.
29223 When compiling code for single processor systems, it is generally safe
29224 to use @code{synci}. However, on many multi-core (SMP) systems, it
29225 does not invalidate the instruction caches on all cores and may lead
29226 to undefined behavior.
29228 @opindex mrelax-pic-calls
29229 @item -mrelax-pic-calls
29230 @itemx -mno-relax-pic-calls
29231 Try to turn PIC calls that are normally dispatched via register
29232 @code{$25} into direct calls. This is only possible if the linker can
29233 resolve the destination at link time and if the destination is within
29234 range for a direct call.
29236 @option{-mrelax-pic-calls} is the default if GCC was configured to use
29237 an assembler and a linker that support the @code{.reloc} assembly
29238 directive and @option{-mexplicit-relocs} is in effect. With
29239 @option{-mno-explicit-relocs}, this optimization can be performed by the
29240 assembler and the linker alone without help from the compiler.
29242 @opindex mmcount-ra-address
29243 @opindex mno-mcount-ra-address
29244 @item -mmcount-ra-address
29245 @itemx -mno-mcount-ra-address
29246 Emit (do not emit) code that allows @code{_mcount} to modify the
29247 calling function's return address. When enabled, this option extends
29248 the usual @code{_mcount} interface with a new @var{ra-address}
29249 parameter, which has type @code{intptr_t *} and is passed in register
29250 @code{$12}. @code{_mcount} can then modify the return address by
29251 doing both of the following:
29254 Returning the new address in register @code{$31}.
29256 Storing the new address in @code{*@var{ra-address}},
29257 if @var{ra-address} is nonnull.
29260 The default is @option{-mno-mcount-ra-address}.
29262 @opindex mframe-header-opt
29263 @item -mframe-header-opt
29264 @itemx -mno-frame-header-opt
29265 Enable (disable) frame header optimization in the o32 ABI. When using the
29266 o32 ABI, calling functions will allocate 16 bytes on the stack for the called
29267 function to write out register arguments. When enabled, this optimization
29268 will suppress the allocation of the frame header if it can be determined that
29271 This optimization is off by default at all optimization levels.
29273 @opindex mlxc1-sxc1
29275 @itemx -mno-lxc1-sxc1
29276 When applicable, enable (disable) the generation of @code{lwxc1},
29277 @code{swxc1}, @code{ldxc1}, @code{sdxc1} instructions. Enabled by default.
29282 When applicable, enable (disable) the generation of 4-operand @code{madd.s},
29283 @code{madd.d} and related instructions. Enabled by default.
29288 @subsection MMIX Options
29289 @cindex MMIX Options
29291 These options are defined for the MMIX:
29295 @opindex mno-libfuncs
29297 @itemx -mno-libfuncs
29298 Specify that intrinsic library functions are being compiled, passing all
29299 values in registers, no matter the size.
29302 @opindex mno-epsilon
29304 @itemx -mno-epsilon
29305 Generate floating-point comparison instructions that compare with respect
29306 to the @code{rE} epsilon register.
29308 @opindex mabi=mmixware
29310 @item -mabi=mmixware
29312 Generate code that passes function parameters and return values that (in
29313 the called function) are seen as registers @code{$0} and up, as opposed to
29314 the GNU ABI which uses global registers @code{$231} and up.
29316 @opindex mzero-extend
29317 @opindex mno-zero-extend
29318 @item -mzero-extend
29319 @itemx -mno-zero-extend
29320 When reading data from memory in sizes shorter than 64 bits, use (do not
29321 use) zero-extending load instructions by default, rather than
29322 sign-extending ones.
29325 @opindex mno-knuthdiv
29327 @itemx -mno-knuthdiv
29328 Make the result of a division yielding a remainder have the same sign as
29329 the divisor. With the default, @option{-mno-knuthdiv}, the sign of the
29330 remainder follows the sign of the dividend. Both methods are
29331 arithmetically valid, the latter being almost exclusively used.
29333 @opindex mtoplevel-symbols
29334 @opindex mno-toplevel-symbols
29335 @item -mtoplevel-symbols
29336 @itemx -mno-toplevel-symbols
29337 Prepend (do not prepend) a @samp{:} to all global symbols, so the assembly
29338 code can be used with the @code{PREFIX} assembly directive.
29342 Generate an executable in the ELF format, rather than the default
29343 @samp{mmo} format used by the @command{mmix} simulator.
29345 @opindex mbranch-predict
29346 @opindex mno-branch-predict
29347 @item -mbranch-predict
29348 @itemx -mno-branch-predict
29349 Use (do not use) the probable-branch instructions, when static branch
29350 prediction indicates a probable branch.
29352 @opindex mbase-addresses
29353 @opindex mno-base-addresses
29354 @item -mbase-addresses
29355 @itemx -mno-base-addresses
29356 Generate (do not generate) code that uses @emph{base addresses}. Using a
29357 base address automatically generates a request (handled by the assembler
29358 and the linker) for a constant to be set up in a global register. The
29359 register is used for one or more base address requests within the range 0
29360 to 255 from the value held in the register. The generally leads to short
29361 and fast code, but the number of different data items that can be
29362 addressed is limited. This means that a program that uses lots of static
29363 data may require @option{-mno-base-addresses}.
29365 @opindex msingle-exit
29366 @opindex mno-single-exit
29367 @item -msingle-exit
29368 @itemx -mno-single-exit
29369 Force (do not force) generated code to have a single exit point in each
29373 @node MN10300 Options
29374 @subsection MN10300 Options
29375 @cindex MN10300 options
29377 These @option{-m} options are defined for Matsushita MN10300 architectures:
29382 Generate code to avoid bugs in the multiply instructions for the MN10300
29383 processors. This is the default.
29385 @opindex mno-mult-bug
29386 @item -mno-mult-bug
29387 Do not generate code to avoid bugs in the multiply instructions for the
29388 MN10300 processors.
29392 Generate code using features specific to the AM33 processor.
29396 Do not generate code using features specific to the AM33 processor. This
29401 Generate code using features specific to the AM33/2.0 processor.
29405 Generate code using features specific to the AM34 processor.
29408 @item -mtune=@var{cpu-type}
29409 Use the timing characteristics of the indicated CPU type when
29410 scheduling instructions. This does not change the targeted processor
29411 type. The CPU type must be one of @samp{mn10300}, @samp{am33},
29412 @samp{am33-2} or @samp{am34}.
29414 @opindex mreturn-pointer-on-d0
29415 @item -mreturn-pointer-on-d0
29416 When generating a function that returns a pointer, return the pointer
29417 in both @code{a0} and @code{d0}. Otherwise, the pointer is returned
29418 only in @code{a0}, and attempts to call such functions without a prototype
29419 result in errors. Note that this option is on by default; use
29420 @option{-mno-return-pointer-on-d0} to disable it.
29424 Do not link in the C run-time initialization object file.
29428 Indicate to the linker that it should perform a relaxation optimization pass
29429 to shorten branches, calls and absolute memory addresses. This option only
29430 has an effect when used on the command line for the final link step.
29432 This option makes symbolic debugging impossible.
29436 Allow the compiler to generate @emph{Long Instruction Word}
29437 instructions if the target is the @samp{AM33} or later. This is the
29438 default. This option defines the preprocessor macro @code{__LIW__}.
29442 Do not allow the compiler to generate @emph{Long Instruction Word}
29443 instructions. This option defines the preprocessor macro
29448 Allow the compiler to generate the @emph{SETLB} and @emph{Lcc}
29449 instructions if the target is the @samp{AM33} or later. This is the
29450 default. This option defines the preprocessor macro @code{__SETLB__}.
29454 Do not allow the compiler to generate @emph{SETLB} or @emph{Lcc}
29455 instructions. This option defines the preprocessor macro
29456 @code{__NO_SETLB__}.
29460 @node Moxie Options
29461 @subsection Moxie Options
29462 @cindex Moxie Options
29468 Generate big-endian code. This is the default for @samp{moxie-*-*}
29473 Generate little-endian code.
29477 Generate mul.x and umul.x instructions. This is the default for
29478 @samp{moxiebox-*-*} configurations.
29482 Do not link in the C run-time initialization object file.
29486 @node MSP430 Options
29487 @subsection MSP430 Options
29488 @cindex MSP430 Options
29490 These options are defined for the MSP430:
29496 Force assembly output to always use hex constants. Normally such
29497 constants are signed decimals, but this option is available for
29498 testsuite and/or aesthetic purposes.
29502 Select the MCU to target. This is used to create a C preprocessor
29503 symbol based upon the MCU name, converted to upper case and pre- and
29504 post-fixed with @samp{__}. This in turn is used by the
29505 @file{msp430.h} header file to select an MCU-specific supplementary
29508 The option also sets the ISA to use. If the MCU name is one that is
29509 known to only support the 430 ISA then that is selected, otherwise the
29510 430X ISA is selected. A generic MCU name of @samp{msp430} can also be
29511 used to select the 430 ISA. Similarly the generic @samp{msp430x} MCU
29512 name selects the 430X ISA.
29514 In addition an MCU-specific linker script is added to the linker
29515 command line. The script's name is the name of the MCU with
29516 @file{.ld} appended. Thus specifying @option{-mmcu=xxx} on the @command{gcc}
29517 command line defines the C preprocessor symbol @code{__XXX__} and
29518 cause the linker to search for a script called @file{xxx.ld}.
29520 The ISA and hardware multiply supported for the different MCUs is hard-coded
29521 into GCC. However, an external @samp{devices.csv} file can be used to
29522 extend device support beyond those that have been hard-coded.
29524 GCC searches for the @samp{devices.csv} file using the following methods in the
29525 given precedence order, where the first method takes precendence over the
29526 second which takes precedence over the third.
29529 @item Include path specified with @code{-I} and @code{-L}
29530 @samp{devices.csv} will be searched for in each of the directories specified by
29531 include paths and linker library search paths.
29532 @item Path specified by the environment variable @samp{MSP430_GCC_INCLUDE_DIR}
29533 Define the value of the global environment variable
29534 @samp{MSP430_GCC_INCLUDE_DIR}
29535 to the full path to the directory containing devices.csv, and GCC will search
29536 this directory for devices.csv. If devices.csv is found, this directory will
29537 also be registered as an include path, and linker library path. Header files
29538 and linker scripts in this directory can therefore be used without manually
29539 specifying @code{-I} and @code{-L} on the command line.
29540 @item The @samp{msp430-elf@{,bare@}/include/devices} directory
29541 Finally, GCC will examine @samp{msp430-elf@{,bare@}/include/devices} from the
29542 toolchain root directory. This directory does not exist in a default
29543 installation, but if the user has created it and copied @samp{devices.csv}
29544 there, then the MCU data will be read. As above, this directory will
29545 also be registered as an include path, and linker library path.
29548 If none of the above search methods find @samp{devices.csv}, then the
29549 hard-coded MCU data is used.
29553 @opindex mno-warn-mcu
29555 @itemx -mno-warn-mcu
29556 This option enables or disables warnings about conflicts between the
29557 MCU name specified by the @option{-mmcu} option and the ISA set by the
29558 @option{-mcpu} option and/or the hardware multiply support set by the
29559 @option{-mhwmult} option. It also toggles warnings about unrecognized
29560 MCU names. This option is on by default.
29564 Specifies the ISA to use. Accepted values are @samp{msp430},
29565 @samp{msp430x} and @samp{msp430xv2}. This option is deprecated. The
29566 @option{-mmcu=} option should be used to select the ISA.
29570 Link to the simulator runtime libraries and linker script. Overrides
29571 any scripts that would be selected by the @option{-mmcu=} option.
29575 Use large-model addressing (20-bit pointers, 20-bit @code{size_t}).
29579 Use small-model addressing (16-bit pointers, 16-bit @code{size_t}).
29583 This option is passed to the assembler and linker, and allows the
29584 linker to perform certain optimizations that cannot be done until
29589 Describes the type of hardware multiply supported by the target.
29590 Accepted values are @samp{none} for no hardware multiply, @samp{16bit}
29591 for the original 16-bit-only multiply supported by early MCUs.
29592 @samp{32bit} for the 16/32-bit multiply supported by later MCUs and
29593 @samp{f5series} for the 16/32-bit multiply supported by F5-series MCUs.
29594 A value of @samp{auto} can also be given. This tells GCC to deduce
29595 the hardware multiply support based upon the MCU name provided by the
29596 @option{-mmcu} option. If no @option{-mmcu} option is specified or if
29597 the MCU name is not recognized then no hardware multiply support is
29598 assumed. @code{auto} is the default setting.
29600 Hardware multiplies are normally performed by calling a library
29601 routine. This saves space in the generated code. When compiling at
29602 @option{-O3} or higher however the hardware multiplier is invoked
29603 inline. This makes for bigger, but faster code.
29605 The hardware multiply routines disable interrupts whilst running and
29606 restore the previous interrupt state when they finish. This makes
29607 them safe to use inside interrupt handlers as well as in normal code.
29611 Enable the use of a minimum runtime environment - no static
29612 initializers or constructors. This is intended for memory-constrained
29613 devices. The compiler includes special symbols in some objects
29614 that tell the linker and runtime which code fragments are required.
29616 @opindex mtiny-printf
29617 @item -mtiny-printf
29618 Enable reduced code size @code{printf} and @code{puts} library functions.
29619 The @samp{tiny} implementations of these functions are not reentrant, so
29620 must be used with caution in multi-threaded applications.
29622 Support for streams has been removed and the string to be printed will
29623 always be sent to stdout via the @code{write} syscall. The string is not
29624 buffered before it is sent to write.
29626 This option requires Newlib Nano IO, so GCC must be configured with
29627 @samp{--enable-newlib-nano-formatted-io}.
29629 @opindex mmax-inline-shift=
29630 @item -mmax-inline-shift=
29631 This option takes an integer between 0 and 64 inclusive, and sets
29632 the maximum number of inline shift instructions which should be emitted to
29633 perform a shift operation by a constant amount. When this value needs to be
29634 exceeded, an mspabi helper function is used instead. The default value is 4.
29636 This only affects cases where a shift by multiple positions cannot be
29637 completed with a single instruction (e.g. all shifts >1 on the 430 ISA).
29639 Shifts of a 32-bit value are at least twice as costly, so the value passed for
29640 this option is divided by 2 and the resulting value used instead.
29642 @opindex mcode-region
29643 @opindex mdata-region
29644 @item -mcode-region=
29645 @itemx -mdata-region=
29646 These options tell the compiler where to place functions and data that
29647 do not have one of the @code{lower}, @code{upper}, @code{either} or
29648 @code{section} attributes. Possible values are @code{lower},
29649 @code{upper}, @code{either} or @code{any}. The first three behave
29650 like the corresponding attribute. The fourth possible value -
29651 @code{any} - is the default. It leaves placement entirely up to the
29652 linker script and how it assigns the standard sections
29653 (@code{.text}, @code{.data}, etc) to the memory regions.
29655 @opindex msilicon-errata
29656 @item -msilicon-errata=
29657 This option passes on a request to assembler to enable the fixes for
29658 the named silicon errata.
29660 @opindex msilicon-errata-warn
29661 @item -msilicon-errata-warn=
29662 This option passes on a request to the assembler to enable warning
29663 messages when a silicon errata might need to be applied.
29665 @opindex mwarn-devices-csv
29666 @opindex mno-warn-devices-csv
29667 @item -mwarn-devices-csv
29668 @itemx -mno-warn-devices-csv
29669 Warn if @samp{devices.csv} is not found or there are problem parsing it
29674 @node NDS32 Options
29675 @subsection NDS32 Options
29676 @cindex NDS32 Options
29678 These options are defined for NDS32 implementations:
29682 @opindex mbig-endian
29684 Generate code in big-endian mode.
29686 @opindex mlittle-endian
29687 @item -mlittle-endian
29688 Generate code in little-endian mode.
29690 @opindex mreduced-regs
29691 @item -mreduced-regs
29692 Use reduced-set registers for register allocation.
29694 @opindex mfull-regs
29696 Use full-set registers for register allocation.
29700 Generate conditional move instructions.
29704 Do not generate conditional move instructions.
29708 Generate performance extension instructions.
29710 @opindex mno-ext-perf
29711 @item -mno-ext-perf
29712 Do not generate performance extension instructions.
29714 @opindex mext-perf2
29716 Generate performance extension 2 instructions.
29718 @opindex mno-ext-perf2
29719 @item -mno-ext-perf2
29720 Do not generate performance extension 2 instructions.
29722 @opindex mext-string
29724 Generate string extension instructions.
29726 @opindex mno-ext-string
29727 @item -mno-ext-string
29728 Do not generate string extension instructions.
29732 Generate v3 push25/pop25 instructions.
29734 @opindex mno-v3push
29736 Do not generate v3 push25/pop25 instructions.
29740 Generate 16-bit instructions.
29742 @opindex mno-16-bit
29744 Do not generate 16-bit instructions.
29746 @opindex misr-vector-size
29747 @item -misr-vector-size=@var{num}
29748 Specify the size of each interrupt vector, which must be 4 or 16.
29750 @opindex mcache-block-size
29751 @item -mcache-block-size=@var{num}
29752 Specify the size of each cache block,
29753 which must be a power of 2 between 4 and 512.
29756 @item -march=@var{arch}
29757 Specify the name of the target architecture.
29760 @item -mcmodel=@var{code-model}
29761 Set the code model to one of
29764 All the data and read-only data segments must be within 512KB addressing space.
29765 The text segment must be within 16MB addressing space.
29766 @item @samp{medium}
29767 The data segment must be within 512KB while the read-only data segment can be
29768 within 4GB addressing space. The text segment should be still within 16MB
29771 All the text and data segments can be within 4GB addressing space.
29774 @opindex mctor-dtor
29776 Enable constructor/destructor feature.
29780 Guide linker to relax instructions.
29784 @node Nios II Options
29785 @subsection Nios II Options
29786 @cindex Nios II options
29787 @cindex Altera Nios II options
29789 These are the options defined for the Altera Nios II processor.
29794 @cindex smaller data references
29796 Put global and static objects less than or equal to @var{num} bytes
29797 into the small data or BSS sections instead of the normal data or BSS
29798 sections. The default value of @var{num} is 8.
29802 @item -mgpopt=@var{option}
29805 Generate (do not generate) GP-relative accesses. The following
29806 @var{option} names are recognized:
29811 Do not generate GP-relative accesses.
29814 Generate GP-relative accesses for small data objects that are not
29815 external, weak, or uninitialized common symbols.
29816 Also use GP-relative addressing for objects that
29817 have been explicitly placed in a small data section via a @code{section}
29821 As for @samp{local}, but also generate GP-relative accesses for
29822 small data objects that are external, weak, or common. If you use this option,
29823 you must ensure that all parts of your program (including libraries) are
29824 compiled with the same @option{-G} setting.
29827 Generate GP-relative accesses for all data objects in the program. If you
29828 use this option, the entire data and BSS segments
29829 of your program must fit in 64K of memory and you must use an appropriate
29830 linker script to allocate them within the addressable range of the
29834 Generate GP-relative addresses for function pointers as well as data
29835 pointers. If you use this option, the entire text, data, and BSS segments
29836 of your program must fit in 64K of memory and you must use an appropriate
29837 linker script to allocate them within the addressable range of the
29842 @option{-mgpopt} is equivalent to @option{-mgpopt=local}, and
29843 @option{-mno-gpopt} is equivalent to @option{-mgpopt=none}.
29845 The default is @option{-mgpopt} except when @option{-fpic} or
29846 @option{-fPIC} is specified to generate position-independent code.
29847 Note that the Nios II ABI does not permit GP-relative accesses from
29850 You may need to specify @option{-mno-gpopt} explicitly when building
29851 programs that include large amounts of small data, including large
29852 GOT data sections. In this case, the 16-bit offset for GP-relative
29853 addressing may not be large enough to allow access to the entire
29854 small data section.
29856 @opindex mgprel-sec
29857 @item -mgprel-sec=@var{regexp}
29858 This option specifies additional section names that can be accessed via
29859 GP-relative addressing. It is most useful in conjunction with
29860 @code{section} attributes on variable declarations
29861 (@pxref{Common Variable Attributes}) and a custom linker script.
29862 The @var{regexp} is a POSIX Extended Regular Expression.
29864 This option does not affect the behavior of the @option{-G} option, and
29865 the specified sections are in addition to the standard @code{.sdata}
29866 and @code{.sbss} small-data sections that are recognized by @option{-mgpopt}.
29868 @opindex mr0rel-sec
29869 @item -mr0rel-sec=@var{regexp}
29870 This option specifies names of sections that can be accessed via a
29871 16-bit offset from @code{r0}; that is, in the low 32K or high 32K
29872 of the 32-bit address space. It is most useful in conjunction with
29873 @code{section} attributes on variable declarations
29874 (@pxref{Common Variable Attributes}) and a custom linker script.
29875 The @var{regexp} is a POSIX Extended Regular Expression.
29877 In contrast to the use of GP-relative addressing for small data,
29878 zero-based addressing is never generated by default and there are no
29879 conventional section names used in standard linker scripts for sections
29880 in the low or high areas of memory.
29886 Generate little-endian (default) or big-endian (experimental) code,
29890 @item -march=@var{arch}
29891 This specifies the name of the target Nios II architecture. GCC uses this
29892 name to determine what kind of instructions it can emit when generating
29893 assembly code. Permissible names are: @samp{r1}, @samp{r2}.
29895 The preprocessor macro @code{__nios2_arch__} is available to programs,
29896 with value 1 or 2, indicating the targeted ISA level.
29898 @opindex mno-bypass-cache
29899 @opindex mbypass-cache
29900 @item -mbypass-cache
29901 @itemx -mno-bypass-cache
29902 Force all load and store instructions to always bypass cache by
29903 using I/O variants of the instructions. The default is not to
29906 @opindex mcache-volatile
29907 @opindex mno-cache-volatile
29908 @item -mno-cache-volatile
29909 @itemx -mcache-volatile
29910 Volatile memory access bypass the cache using the I/O variants of
29911 the load and store instructions. The default is not to bypass the cache.
29913 @opindex mno-fast-sw-div
29914 @opindex mfast-sw-div
29915 @item -mno-fast-sw-div
29916 @itemx -mfast-sw-div
29917 Do not use table-based fast divide for small numbers. The default
29918 is to use the fast divide at @option{-O3} and above.
29920 @opindex mno-hw-mul
29922 @opindex mno-hw-mulx
29924 @opindex mno-hw-div
29928 @itemx -mno-hw-mulx
29932 Enable or disable emitting @code{mul}, @code{mulx} and @code{div} family of
29933 instructions by the compiler. The default is to emit @code{mul}
29934 and not emit @code{div} and @code{mulx}.
29940 Enable or disable generation of Nios II R2 BMX (bit manipulation) and
29941 CDX (code density) instructions. Enabling these instructions also
29942 requires @option{-march=r2}. Since these instructions are optional
29943 extensions to the R2 architecture, the default is not to emit them.
29945 @opindex mcustom-@var{insn}
29946 @opindex mno-custom-@var{insn}
29947 @item -mcustom-@var{insn}=@var{N}
29948 @itemx -mno-custom-@var{insn}
29949 Each @option{-mcustom-@var{insn}=@var{N}} option enables use of a
29950 custom instruction with encoding @var{N} when generating code that uses
29951 @var{insn}. For example, @option{-mcustom-fadds=253} generates custom
29952 instruction 253 for single-precision floating-point add operations instead
29953 of the default behavior of using a library call.
29955 The following values of @var{insn} are supported. Except as otherwise
29956 noted, floating-point operations are expected to be implemented with
29957 normal IEEE 754 semantics and correspond directly to the C operators or the
29958 equivalent GCC built-in functions (@pxref{Other Builtins}).
29960 Single-precision floating point:
29963 @item @samp{fadds}, @samp{fsubs}, @samp{fdivs}, @samp{fmuls}
29964 Binary arithmetic operations.
29970 Unary absolute value.
29972 @item @samp{fcmpeqs}, @samp{fcmpges}, @samp{fcmpgts}, @samp{fcmples}, @samp{fcmplts}, @samp{fcmpnes}
29973 Comparison operations.
29975 @item @samp{fmins}, @samp{fmaxs}
29976 Floating-point minimum and maximum. These instructions are only
29977 generated if @option{-ffinite-math-only} is specified.
29979 @item @samp{fsqrts}
29980 Unary square root operation.
29982 @item @samp{fcoss}, @samp{fsins}, @samp{ftans}, @samp{fatans}, @samp{fexps}, @samp{flogs}
29983 Floating-point trigonometric and exponential functions. These instructions
29984 are only generated if @option{-funsafe-math-optimizations} is also specified.
29988 Double-precision floating point:
29991 @item @samp{faddd}, @samp{fsubd}, @samp{fdivd}, @samp{fmuld}
29992 Binary arithmetic operations.
29998 Unary absolute value.
30000 @item @samp{fcmpeqd}, @samp{fcmpged}, @samp{fcmpgtd}, @samp{fcmpled}, @samp{fcmpltd}, @samp{fcmpned}
30001 Comparison operations.
30003 @item @samp{fmind}, @samp{fmaxd}
30004 Double-precision minimum and maximum. These instructions are only
30005 generated if @option{-ffinite-math-only} is specified.
30007 @item @samp{fsqrtd}
30008 Unary square root operation.
30010 @item @samp{fcosd}, @samp{fsind}, @samp{ftand}, @samp{fatand}, @samp{fexpd}, @samp{flogd}
30011 Double-precision trigonometric and exponential functions. These instructions
30012 are only generated if @option{-funsafe-math-optimizations} is also specified.
30018 @item @samp{fextsd}
30019 Conversion from single precision to double precision.
30021 @item @samp{ftruncds}
30022 Conversion from double precision to single precision.
30024 @item @samp{fixsi}, @samp{fixsu}, @samp{fixdi}, @samp{fixdu}
30025 Conversion from floating point to signed or unsigned integer types, with
30026 truncation towards zero.
30029 Conversion from single-precision floating point to signed integer,
30030 rounding to the nearest integer and ties away from zero.
30031 This corresponds to the @code{__builtin_lroundf} function when
30032 @option{-fno-math-errno} is used.
30034 @item @samp{floatis}, @samp{floatus}, @samp{floatid}, @samp{floatud}
30035 Conversion from signed or unsigned integer types to floating-point types.
30039 In addition, all of the following transfer instructions for internal
30040 registers X and Y must be provided to use any of the double-precision
30041 floating-point instructions. Custom instructions taking two
30042 double-precision source operands expect the first operand in the
30043 64-bit register X. The other operand (or only operand of a unary
30044 operation) is given to the custom arithmetic instruction with the
30045 least significant half in source register @var{src1} and the most
30046 significant half in @var{src2}. A custom instruction that returns a
30047 double-precision result returns the most significant 32 bits in the
30048 destination register and the other half in 32-bit register Y.
30049 GCC automatically generates the necessary code sequences to write
30050 register X and/or read register Y when double-precision floating-point
30051 instructions are used.
30056 Write @var{src1} into the least significant half of X and @var{src2} into
30057 the most significant half of X.
30060 Write @var{src1} into Y.
30062 @item @samp{frdxhi}, @samp{frdxlo}
30063 Read the most or least (respectively) significant half of X and store it in
30067 Read the value of Y and store it into @var{dest}.
30070 Note that you can gain more local control over generation of Nios II custom
30071 instructions by using the @code{target("custom-@var{insn}=@var{N}")}
30072 and @code{target("no-custom-@var{insn}")} function attributes
30073 (@pxref{Function Attributes})
30074 or pragmas (@pxref{Function Specific Option Pragmas}).
30076 @opindex mcustom-fpu-cfg
30077 @item -mcustom-fpu-cfg=@var{name}
30079 This option enables a predefined, named set of custom instruction encodings
30080 (see @option{-mcustom-@var{insn}} above).
30081 Currently, the following sets are defined:
30083 @option{-mcustom-fpu-cfg=60-1} is equivalent to:
30084 @gccoptlist{-mcustom-fmuls=252
30087 -fsingle-precision-constant}
30089 @option{-mcustom-fpu-cfg=60-2} is equivalent to:
30090 @gccoptlist{-mcustom-fmuls=252
30094 -fsingle-precision-constant}
30096 @option{-mcustom-fpu-cfg=72-3} is equivalent to:
30097 @gccoptlist{-mcustom-floatus=243
30099 -mcustom-floatis=245
30100 -mcustom-fcmpgts=246
30101 -mcustom-fcmples=249
30102 -mcustom-fcmpeqs=250
30103 -mcustom-fcmpnes=251
30108 -fsingle-precision-constant}
30110 @option{-mcustom-fpu-cfg=fph2} is equivalent to:
30111 @gccoptlist{-mcustom-fabss=224
30113 -mcustom-fcmpnes=226
30114 -mcustom-fcmpeqs=227
30115 -mcustom-fcmpges=228
30116 -mcustom-fcmpgts=229
30117 -mcustom-fcmples=230
30118 -mcustom-fcmplts=231
30123 -mcustom-floatis=250
30124 -mcustom-fsqrts=251
30128 -mcustom-fdivs=255}
30130 Custom instruction assignments given by individual
30131 @option{-mcustom-@var{insn}=} options override those given by
30132 @option{-mcustom-fpu-cfg=}, regardless of the
30133 order of the options on the command line.
30135 Note that you can gain more local control over selection of a FPU
30136 configuration by using the @code{target("custom-fpu-cfg=@var{name}")}
30137 function attribute (@pxref{Function Attributes})
30138 or pragma (@pxref{Function Specific Option Pragmas}).
30140 The name @var{fph2} is an abbreviation for @emph{Nios II Floating Point
30141 Hardware 2 Component}. Please note that the custom instructions enabled by
30142 @option{-mcustom-fmins=233} and @option{-mcustom-fmaxs=234} are only generated
30143 if @option{-ffinite-math-only} is specified. The custom instruction enabled by
30144 @option{-mcustom-round=248} is only generated if @option{-fno-math-errno} is
30145 specified. In contrast to the other configurations,
30146 @option{-fsingle-precision-constant} is not set.
30150 These additional @samp{-m} options are available for the Altera Nios II
30151 ELF (bare-metal) target:
30157 Link with HAL BSP. This suppresses linking with the GCC-provided C runtime
30158 startup and termination code, and is typically used in conjunction with
30159 @option{-msys-crt0=} to specify the location of the alternate startup code
30160 provided by the HAL BSP.
30164 Link with a limited version of the C library, @option{-lsmallc}, rather than
30168 @item -msys-crt0=@var{startfile}
30169 @var{startfile} is the file name of the startfile (crt0) to use
30170 when linking. This option is only useful in conjunction with @option{-mhal}.
30173 @item -msys-lib=@var{systemlib}
30174 @var{systemlib} is the library name of the library that provides
30175 low-level system calls required by the C library,
30176 e.g.@: @code{read} and @code{write}.
30177 This option is typically used to link with a library provided by a HAL BSP.
30181 @node Nvidia PTX Options
30182 @subsection Nvidia PTX Options
30183 @cindex Nvidia PTX options
30184 @cindex nvptx options
30186 These options are defined for Nvidia PTX:
30192 Ignored, but preserved for backward compatibility. Only 64-bit ABI is
30196 @item -march=@var{architecture-string}
30197 Generate code for the specified PTX ISA target architecture
30198 (e.g.@: @samp{sm_35}). Valid architecture strings are @samp{sm_30},
30199 @samp{sm_35}, @samp{sm_53}, @samp{sm_70}, @samp{sm_75} and
30201 The default depends on how the compiler has been configured, see
30202 @option{--with-arch}.
30204 This option sets the value of the preprocessor macro
30205 @code{__PTX_SM__}; for instance, for @samp{sm_35}, it has the value
30209 @item -misa=@var{architecture-string}
30210 Alias of @option{-march=}.
30213 @item -march-map=@var{architecture-string}
30214 Select the closest available @option{-march=} value that is not more
30215 capable. For instance, for @option{-march-map=sm_50} select
30216 @option{-march=sm_35}, and for @option{-march-map=sm_53} select
30217 @option{-march=sm_53}.
30220 @item -mptx=@var{version-string}
30221 Generate code for the specified PTX ISA version (e.g.@: @samp{7.0}).
30222 Valid version strings include @samp{3.1}, @samp{6.0}, @samp{6.3}, and
30223 @samp{7.0}. The default PTX ISA version is 6.0, unless a higher
30224 version is required for specified PTX ISA target architecture via
30225 option @option{-march=}.
30227 This option sets the values of the preprocessor macros
30228 @code{__PTX_ISA_VERSION_MAJOR__} and @code{__PTX_ISA_VERSION_MINOR__};
30229 for instance, for @samp{3.1} the macros have the values @samp{3} and
30230 @samp{1}, respectively.
30232 @opindex mmainkernel
30234 Link in code for a __main kernel. This is for stand-alone instead of
30235 offloading execution.
30239 Apply partitioned execution optimizations. This is the default when any
30240 level of optimization is selected.
30242 @opindex msoft-stack
30244 Generate code that does not use @code{.local} memory
30245 directly for stack storage. Instead, a per-warp stack pointer is
30246 maintained explicitly. This enables variable-length stack allocation (with
30247 variable-length arrays or @code{alloca}), and when global memory is used for
30248 underlying storage, makes it possible to access automatic variables from other
30249 threads, or with atomic instructions. This code generation variant is used
30250 for OpenMP offloading, but the option is exposed on its own for the purpose
30251 of testing the compiler; to generate code suitable for linking into programs
30252 using OpenMP offloading, use option @option{-mgomp}.
30254 @opindex muniform-simt
30255 @item -muniform-simt
30256 Switch to code generation variant that allows to execute all threads in each
30257 warp, while maintaining memory state and side effects as if only one thread
30258 in each warp was active outside of OpenMP SIMD regions. All atomic operations
30259 and calls to runtime (malloc, free, vprintf) are conditionally executed (iff
30260 current lane index equals the master lane index), and the register being
30261 assigned is copied via a shuffle instruction from the master lane. Outside of
30262 SIMD regions lane 0 is the master; inside, each thread sees itself as the
30263 master. Shared memory array @code{int __nvptx_uni[]} stores all-zeros or
30264 all-ones bitmasks for each warp, indicating current mode (0 outside of SIMD
30265 regions). Each thread can bitwise-and the bitmask at position @code{tid.y}
30266 with current lane index to compute the master lane index.
30270 Generate code for use in OpenMP offloading: enables @option{-msoft-stack} and
30271 @option{-muniform-simt} options, and selects corresponding multilib variant.
30275 @node OpenRISC Options
30276 @subsection OpenRISC Options
30277 @cindex OpenRISC Options
30279 These options are defined for OpenRISC:
30284 @item -mboard=@var{name}
30285 Configure a board specific runtime. This will be passed to the linker for
30286 newlib board library linking. The default is @code{or1ksim}.
30290 This option is ignored; it is for compatibility purposes only. This used to
30291 select linker and preprocessor options for use with newlib.
30297 Select software or hardware divide (@code{l.div}, @code{l.divu}) instructions.
30298 This default is hardware divide.
30304 Select software or hardware multiply (@code{l.mul}, @code{l.muli}) instructions.
30305 This default is hardware multiply.
30307 @opindex msoft-float
30308 @opindex mhard-float
30310 @itemx -mhard-float
30311 Select software or hardware for floating point operations.
30312 The default is software.
30314 @opindex mdouble-float
30315 @item -mdouble-float
30316 When @option{-mhard-float} is selected, enables generation of double-precision
30317 floating point instructions. By default functions from @file{libgcc} are used
30318 to perform double-precision floating point operations.
30320 @opindex munordered-float
30321 @item -munordered-float
30322 When @option{-mhard-float} is selected, enables generation of unordered
30323 floating point compare and set flag (@code{lf.sfun*}) instructions. By default
30324 functions from @file{libgcc} are used to perform unordered floating point
30325 compare and set flag operations.
30329 Enable generation of conditional move (@code{l.cmov}) instructions. By
30330 default the equivalent will be generated using set and branch.
30334 Enable generation of rotate right (@code{l.ror}) instructions. By default
30335 functions from @file{libgcc} are used to perform rotate right operations.
30339 Enable generation of rotate right with immediate (@code{l.rori}) instructions.
30340 By default functions from @file{libgcc} are used to perform rotate right with
30341 immediate operations.
30345 Enable generation of sign extension (@code{l.ext*}) instructions. By default
30346 memory loads are used to perform sign extension.
30350 Enable generation of compare and set flag with immediate (@code{l.sf*i})
30351 instructions. By default extra instructions will be generated to store the
30352 immediate to a register first.
30356 Enable generation of shift with immediate (@code{l.srai}, @code{l.srli},
30357 @code{l.slli}) instructions. By default extra instructions will be generated
30358 to store the immediate to a register first.
30361 @opindex mcmodel=small
30362 @item -mcmodel=small
30363 Generate OpenRISC code for the small model: The GOT is limited to 64k. This is
30366 @opindex mcmodel=large
30367 @item -mcmodel=large
30368 Generate OpenRISC code for the large model: The GOT may grow up to 4G in size.
30373 @node PDP-11 Options
30374 @subsection PDP-11 Options
30375 @cindex PDP-11 Options
30377 These options are defined for the PDP-11:
30382 Use hardware FPP floating point. This is the default. (FIS floating
30383 point on the PDP-11/40 is not supported.) Implies -m45.
30385 @opindex msoft-float
30387 Do not use hardware floating point.
30391 Return floating-point results in ac0 (fr0 in Unix assembler syntax).
30395 Return floating-point results in memory. This is the default.
30399 Generate code for a PDP-11/40. Implies -msoft-float -mno-split.
30403 Generate code for a PDP-11/45. This is the default.
30407 Generate code for a PDP-11/10. Implies -msoft-float -mno-split.
30413 Use 16-bit @code{int}. This is the default.
30419 Use 32-bit @code{int}.
30423 Target has split instruction and data space. Implies -m45.
30427 Use Unix assembler syntax.
30431 Use DEC assembler syntax.
30435 Use GNU assembler syntax. This is the default.
30439 Use the new LRA register allocator. By default, the old ``reload''
30443 @node PowerPC Options
30444 @subsection PowerPC Options
30445 @cindex PowerPC options
30447 These are listed under @xref{RS/6000 and PowerPC Options}.
30450 @subsection PRU Options
30451 @cindex PRU Options
30453 These command-line options are defined for PRU target:
30458 Link with a minimum runtime environment. This can significantly reduce
30459 the size of the final ELF binary, but some standard C runtime features
30462 This option disables support for static initializers and constructors.
30463 Beware that the compiler could still generate code with static initializers
30464 and constructors. It is up to the programmer to ensure that the source
30465 program will not use those features.
30467 The minimal startup code would not pass @code{argc} and @code{argv} arguments
30468 to @code{main}, so the latter must be declared as @code{int main (void)}.
30469 This is already the norm for most firmware projects.
30472 @item -mmcu=@var{mcu}
30473 Specify the PRU hardware variant to use. A correspondingly named
30474 spec file would be loaded, passing the memory region sizes to
30475 the linker and defining hardware-specific C macros.
30477 Newlib provides only the @code{sim} spec, intended for running
30478 regression tests using a simulator. Specs for real hardware can be
30479 obtained by installing the
30480 @w{@uref{https://github.com/dinuxbg/gnuprumcu/,GnuPruMcu}} package.
30484 Make GCC pass the @option{--no-relax} command-line option to the linker
30485 instead of the @option{--relax} option.
30489 Allow (or do not allow) GCC to use the LOOP instruction.
30492 @item -mabi=@var{variant}
30493 Specify the ABI variant to output code for. @option{-mabi=ti} selects the
30494 unmodified TI ABI while @option{-mabi=gnu} selects a GNU variant that copes
30495 more naturally with certain GCC assumptions. These are the differences:
30498 @item Function Pointer Size
30499 TI ABI specifies that function (code) pointers are 16-bit, whereas GNU
30500 supports only 32-bit data and code pointers.
30502 @item Optional Return Value Pointer
30503 Function return values larger than 64 bits are passed by using a hidden
30504 pointer as the first argument of the function. TI ABI, though, mandates that
30505 the pointer can be NULL in case the caller is not using the returned value.
30506 GNU always passes and expects a valid return value pointer.
30510 The current @option{-mabi=ti} implementation simply raises a compile error
30511 when any of the above code constructs is detected. As a consequence
30512 the standard C library cannot be built and it is omitted when linking with
30515 Relaxation is a GNU feature and for safety reasons is disabled when using
30516 @option{-mabi=ti}. The TI toolchain does not emit relocations for QBBx
30517 instructions, so the GNU linker cannot adjust them when shortening adjacent
30518 LDI32 pseudo instructions.
30522 @node RISC-V Options
30523 @subsection RISC-V Options
30524 @cindex RISC-V Options
30526 These command-line options are defined for RISC-V targets:
30529 @opindex mbranch-cost
30530 @item -mbranch-cost=@var{n}
30531 Set the cost of branches to roughly @var{n} instructions.
30536 When generating PIC code, do or don't allow the use of PLTs. Ignored for
30537 non-PIC. The default is @option{-mplt}.
30540 @item -mabi=@var{ABI-string}
30541 Specify integer and floating-point calling convention. @var{ABI-string}
30542 contains two parts: the size of integer types and the registers used for
30543 floating-point types. For example @samp{-march=rv64ifd -mabi=lp64d} means that
30544 @samp{long} and pointers are 64-bit (implicitly defining @samp{int} to be
30545 32-bit), and that floating-point values up to 64 bits wide are passed in F
30546 registers. Contrast this with @samp{-march=rv64ifd -mabi=lp64f}, which still
30547 allows the compiler to generate code that uses the F and D extensions but only
30548 allows floating-point values up to 32 bits long to be passed in registers; or
30549 @samp{-march=rv64ifd -mabi=lp64}, in which no floating-point arguments will be
30550 passed in registers.
30552 The default for this argument is system dependent, users who want a specific
30553 calling convention should specify one explicitly. The valid calling
30554 conventions are: @samp{ilp32}, @samp{ilp32f}, @samp{ilp32d}, @samp{lp64},
30555 @samp{lp64f}, and @samp{lp64d}. Some calling conventions are impossible to
30556 implement on some ISAs: for example, @samp{-march=rv32if -mabi=ilp32d} is
30557 invalid because the ABI requires 64-bit values be passed in F registers, but F
30558 registers are only 32 bits wide. There are also the @samp{ilp32e} ABI that can
30559 only be used with the @samp{rv32e} architecture and the @samp{lp64e} ABI that
30560 can only be used with the @samp{rv64e}. Those ABIs are not well specified at
30561 present, and are subject to change.
30566 Do or don't use hardware floating-point divide and square root instructions.
30567 This requires the F or D extensions for floating-point registers. The default
30568 is to use them if the specified architecture has these instructions.
30570 @opindex mfence-tso
30572 @itemx -mno-fence-tso
30573 Do or don't use the @samp{fence.tso} instruction, which is unimplemented on
30574 some processors (including those from T-Head). If the @samp{fence.tso}
30575 instruction is not availiable then a stronger fence will be used instead.
30580 Do or don't use hardware instructions for integer division. This requires the
30581 M extension. The default is to use them if the specified architecture has
30582 these instructions.
30585 @item -misa-spec=@var{ISA-spec-string}
30586 Specify the version of the RISC-V Unprivileged (formerly User-Level)
30587 ISA specification to produce code conforming to. The possibilities
30588 for @var{ISA-spec-string} are:
30591 Produce code conforming to version 2.2.
30593 Produce code conforming to version 20190608.
30595 Produce code conforming to version 20191213.
30597 The default is @option{-misa-spec=20191213} unless GCC has been configured
30598 with @option{--with-isa-spec=} specifying a different default version.
30601 @item -march=@var{ISA-string}
30602 Generate code for given RISC-V ISA (e.g.@: @samp{rv64im}). ISA strings must be
30603 lower-case. Examples include @samp{rv64i}, @samp{rv32g}, @samp{rv32e}, and
30604 @samp{rv32imaf}. Additionally, a special value @option{help}
30605 (@option{-march=help}) is accepted to list all supported extensions.
30607 The syntax of the ISA string is defined as follows:
30610 @item The string must start with @samp{rv32} or @samp{rv64}, followed by
30611 @samp{i}, @samp{e}, or @samp{g}, referred to as the base ISA.
30612 @item The subsequent part of the string is a list of extension names. Extension
30613 names can be categorized as multi-letter (e.g.@: @samp{zba}) and single-letter
30614 (e.g.@: @samp{v}). Single-letter extensions can appear consecutively,
30615 but multi-letter extensions must be separated by underscores.
30616 @item An underscore can appear anywhere after the base ISA. It has no specific
30617 effect but is used to improve readability and can act as a separator.
30618 @item Extension names may include an optional version number, following the
30619 syntax @samp{<major>p<minor>} or @samp{<major>}, (e.g.@: @samp{m2p1} or
30623 Supported extension are listed below:
30624 @multitable @columnfractions .10 .10 .80
30625 @headitem Extension Name @tab Supported Version @tab Description
30628 @tab Base integer extension.
30632 @tab Reduced base integer extension.
30636 @tab General-purpose computing base extension, @samp{g} will expand to
30637 @samp{i}, @samp{m}, @samp{a}, @samp{f}, @samp{d}, @samp{zicsr} and
30642 @tab Integer multiplication and division extension.
30646 @tab Atomic extension.
30650 @tab Single-precision floating-point extension.
30654 @tab Double-precision floating-point extension.
30658 @tab Compressed extension.
30662 @tab Hypervisor extension.
30666 @tab Vector extension.
30670 @tab Control and status register access extension.
30674 @tab Instruction-fetch fence extension.
30678 @tab Integer conditional operations extension.
30682 @tab Reservation set size of 64 bytes.
30686 @tab Reservation set size of 128 bytes.
30690 @tab Wait-on-reservation-set extension.
30694 @tab Address calculation extension.
30698 @tab Basic bit manipulation extension.
30702 @tab Carry-less multiplication extension.
30706 @tab Single-bit operation extension.
30710 @tab Single-precision floating-point in integer registers extension.
30714 @tab Double-precision floating-point in integer registers extension.
30718 @tab Half-precision floating-point in integer registers extension.
30722 @tab Minimal half-precision floating-point in integer registers extension.
30726 @tab Cryptography bit-manipulation extension.
30730 @tab Cryptography carry-less multiply extension.
30734 @tab Cryptography crossbar permutation extension.
30738 @tab AES Encryption extension.
30742 @tab AES Decryption extension.
30746 @tab Hash function extension.
30750 @tab Entropy source extension.
30754 @tab SM4 block cipher extension.
30758 @tab SM3 hash function extension.
30762 @tab Data independent execution latency extension.
30766 @tab Standard scalar cryptography extension.
30770 @tab NIST algorithm suite extension.
30774 @tab ShangMi algorithm suite extension.
30778 @tab Non-temporal locality hints extension.
30782 @tab Pause hint extension.
30786 @tab Cache-block zero extension.
30790 @tab Cache-block management extension.
30794 @tab Cache-block prefetch extension.
30798 @tab Cache block size isf 64 bytes.
30802 @tab Main memory supports all atomics in A.
30806 @tab Main memory supports instruction fetch with atomicity requirement.
30810 @tab Main memory supports misaligned loads/stores.
30814 @tab Main memory supports forward progress on LR/SC sequences.
30818 @tab Standard extension for base counters and timers.
30822 @tab Standard extension for hardware performance counters.
30826 @tab Total store ordering extension.
30830 @tab Vector extensions for embedded processors.
30834 @tab Vector extensions for embedded processors.
30838 @tab Vector extensions for embedded processors.
30842 @tab Vector extensions for embedded processors.
30846 @tab Vector extensions for embedded processors.
30850 @tab Minimum vector length standard extensions
30854 @tab Minimum vector length standard extensions
30858 @tab Minimum vector length standard extensions
30862 @tab Minimum vector length standard extensions
30866 @tab Minimum vector length standard extensions
30870 @tab Minimum vector length standard extensions
30874 @tab Minimum vector length standard extensions
30878 @tab Minimum vector length standard extensions
30882 @tab Vector basic bit-manipulation extension.
30886 @tab Vector carryless multiplication extension.
30890 @tab Vector cryptography bit-manipulation extension.
30894 @tab Vector GCM/GMAC extension.
30898 @tab Vector AES block cipher extension.
30902 @tab Vector SHA-2 secure hash extension.
30906 @tab Vector SHA-2 secure hash extension.
30910 @tab Vector SM4 Block Cipher extension.
30914 @tab Vector SM3 Secure Hash extension.
30918 @tab Vector NIST Algorithm Suite extension, @samp{zvkn} will expand to
30919 @samp{zvkned}, @samp{zvknhb}, @samp{zvkb} and @samp{zvkt}.
30923 @tab Vector NIST Algorithm Suite with carryless multiply extension, @samp{zvknc}
30924 will expand to @samp{zvkn} and @samp{zvbc}.
30928 @tab Vector NIST Algorithm Suite with GCM extension, @samp{zvkng} will expand
30929 to @samp{zvkn} and @samp{zvkg}.
30933 @tab Vector ShangMi algorithm suite extension, @samp{zvks} will expand
30934 to @samp{zvksed}, @samp{zvksh}, @samp{zvkb} and @samp{zvkt}.
30938 @tab Vector ShangMi algorithm suite with carryless multiplication extension,
30939 @samp{zvksc} will expand to @samp{zvks} and @samp{zvbc}.
30943 @tab Vector ShangMi algorithm suite with GCM extension, @samp{zvksg} will expand
30944 to @samp{zvks} and @samp{zvkg}.
30948 @tab Vector data independent execution latency extension.
30952 @tab Half-precision floating-point extension.
30956 @tab Minimal half-precision floating-point extension.
30960 @tab Vector half-precision floating-point extension.
30964 @tab Vector minimal half-precision floating-point extension.
30968 @tab Vector BF16 converts extension.
30972 @tab Additional floating-point extension.
30976 @tab Integer multiplication extension.
30980 @tab Integer compressed instruction extension.
30984 @tab Compressed single-precision floating point loads and stores extension.
30988 @tab Compressed double-precision floating point loads and stores extension.
30992 @tab Simple compressed instruction extension.
30996 @tab Compressed instruction extensions for embedded processors.
31000 @tab Compressed push pop extension.
31004 @tab Table jump instruction extension.
31008 @tab Advanced interrupt architecture extension.
31012 @tab PMP Enhancements for memory access and execution prevention on Machine mode.
31016 @tab State enable extension.
31020 @tab Advanced interrupt architecture extension for supervisor-mode.
31024 @tab Count overflow & filtering extension.
31028 @tab State-enable extension for supervisor-mode.
31032 @tab Supervisor-mode timer interrupts extension.
31036 @tab Fine-grained address-translation cache invalidation extension.
31040 @tab NAPOT translation contiguity extension.
31044 @tab Page-based memory types extension.
31048 @tab Core-V multiply-accumulate extension.
31052 @tab Core-V miscellaneous ALU extension.
31056 @tab Core-V event load word extension.
31060 @tab T-head address calculation extension.
31064 @tab T-head basic bit-manipulation extension.
31068 @tab T-head single-bit instructions extension.
31072 @tab T-head cache management operations extension.
31074 @item xtheadcondmov
31076 @tab T-head conditional move extension.
31078 @item xtheadfmemidx
31080 @tab T-head indexed memory operations for floating-point registers extension.
31084 @tab T-head double floating-point high-bit data transmission extension.
31088 @tab T-head acceleration interruption extension.
31092 @tab T-head multiply-accumulate extension.
31096 @tab T-head indexed memory operation extension.
31098 @item xtheadmempair
31100 @tab T-head two-GPR memory operation extension.
31104 @tab T-head multi-core synchronization extension.
31106 @item xventanacondops
31108 @tab Ventana integer conditional operations extension.
31112 When @option{-march=} is not specified, use the setting from @option{-mcpu}.
31114 If both @option{-march} and @option{-mcpu=} are not specified, the default for
31115 this argument is system dependent, users who want a specific architecture
31116 extensions should specify one explicitly.
31118 When the RISC-V specifications define an extension as depending on other
31119 extensions, GCC will implicitly add the dependent extensions to the enabled
31120 extension set if they weren't added explicitly.
31123 @item -mcpu=@var{processor-string}
31124 Use architecture of and optimize the output for the given processor, specified
31125 by particular CPU name.
31126 Permissible values for this option are: @samp{sifive-e20}, @samp{sifive-e21},
31127 @samp{sifive-e24}, @samp{sifive-e31}, @samp{sifive-e34}, @samp{sifive-e76},
31128 @samp{sifive-s21}, @samp{sifive-s51}, @samp{sifive-s54}, @samp{sifive-s76},
31129 @samp{sifive-u54}, @samp{sifive-u74}, @samp{sifive-x280}, @samp{sifive-xp450},
31130 @samp{sifive-x670}.
31132 Note that @option{-mcpu} does not override @option{-march} or @option{-mtune}.
31135 @item -mtune=@var{processor-string}
31136 Optimize the output for the given processor, specified by microarchitecture or
31137 particular CPU name. Permissible values for this option are: @samp{rocket},
31138 @samp{sifive-3-series}, @samp{sifive-5-series}, @samp{sifive-7-series},
31139 @samp{thead-c906}, @samp{size}, @samp{sifive-p400-series},
31140 @samp{sifive-p600-series}, and all valid options for @option{-mcpu=}.
31142 When @option{-mtune=} is not specified, use the setting from @option{-mcpu},
31143 the default is @samp{rocket} if both are not specified.
31145 The @samp{size} choice is not intended for use by end-users. This is used
31146 when @option{-Os} is specified. It overrides the instruction cost info
31147 provided by @option{-mtune=}, but does not override the pipeline info. This
31148 helps reduce code size while still giving good performance.
31150 @opindex mpreferred-stack-boundary
31151 @item -mpreferred-stack-boundary=@var{num}
31152 Attempt to keep the stack boundary aligned to a 2 raised to @var{num}
31153 byte boundary. If @option{-mpreferred-stack-boundary} is not specified,
31154 the default is 4 (16 bytes or 128-bits).
31156 @strong{Warning:} If you use this switch, then you must build all modules with
31157 the same value, including any libraries. This includes the system libraries
31158 and startup modules.
31160 @opindex msmall-data-limit
31161 @item -msmall-data-limit=@var{n}
31162 Put global and static data smaller than @var{n} bytes into a special section
31165 @opindex msave-restore
31166 @item -msave-restore
31167 @itemx -mno-save-restore
31168 Do or don't use smaller but slower prologue and epilogue code that uses
31169 library function calls. The default is to use fast inline prologues and
31175 Do or don't produce branchless conditional-move code sequences even with
31176 targets that do not have specific instructions for conditional operations.
31177 If enabled, sequences of ALU operations are produced using base integer
31178 ISA instructions where profitable.
31180 @opindex minline-atomics
31181 @item -minline-atomics
31182 @itemx -mno-inline-atomics
31183 Do or don't use smaller but slower subword atomic emulation code that uses
31184 libatomic function calls. The default is to use fast inline subword atomics
31185 that do not require libatomic.
31187 @opindex minline-strlen
31188 @item -minline-strlen
31189 @itemx -mno-inline-strlen
31190 Do or do not attempt to inline strlen calls if possible.
31191 Inlining will only be done if the string is properly aligned
31192 and instructions for accelerated processing are available.
31193 The default is to not inline strlen calls.
31195 @opindex minline-strcmp
31196 @item -minline-strcmp
31197 @itemx -mno-inline-strcmp
31198 Do or do not attempt to inline strcmp calls if possible.
31199 Inlining will only be done if the strings are properly aligned
31200 and instructions for accelerated processing are available.
31201 The default is to not inline strcmp calls.
31203 The @option{--param riscv-strcmp-inline-limit=@var{n}} parameter controls
31204 the maximum number of bytes compared by the inlined code.
31205 The default value is 64.
31207 @opindex minline-strncmp
31208 @item -minline-strncmp
31209 @itemx -mno-inline-strncmp
31210 Do or do not attempt to inline strncmp calls if possible.
31211 Inlining will only be done if the strings are properly aligned
31212 and instructions for accelerated processing are available.
31213 The default is to not inline strncmp calls.
31215 The @option{--param riscv-strcmp-inline-limit=@var{n}} parameter controls
31216 the maximum number of bytes compared by the inlined code.
31217 The default value is 64.
31219 @opindex mshorten-memrefs
31220 @item -mshorten-memrefs
31221 @itemx -mno-shorten-memrefs
31222 Do or do not attempt to make more use of compressed load/store instructions by
31223 replacing a load/store of 'base register + large offset' with a new load/store
31224 of 'new base + small offset'. If the new base gets stored in a compressed
31225 register, then the new load/store can be compressed. Currently targets 32-bit
31226 integer load/stores only.
31228 @opindex mstrict-align
31229 @item -mstrict-align
31230 @itemx -mno-strict-align
31231 Do not or do generate unaligned memory accesses. The default is set depending
31232 on whether the processor we are optimizing for supports fast unaligned access
31235 @opindex mscalar-strict-align
31236 @opindex mno-scalar-strict-align
31237 @item -mscalar-strict-align
31238 @itemx -mno-scalar-strict-align
31239 Do not or do generate unaligned memory accesses. The default is set depending
31240 on whether the processor we are optimizing for supports fast unaligned access
31241 or not. This is an alias for @option{-mstrict-align}.
31243 @opindex mvector-strict-align
31244 @opindex mno-vector-strict-align
31245 @item -mvector-strict-align
31246 @itemx -mno-vector-strict-align
31247 Do not or do generate unaligned vector memory accesses. The default is set
31248 to off unless the processor we are optimizing for explicitly supports
31249 element-misaligned vector memory access.
31253 @opindex mcmodel=medlow
31254 @item -mcmodel=medlow
31255 Generate code for the medium-low code model. The program and its statically
31256 defined symbols must lie within a single 2 GiB address range and must lie
31257 between absolute addresses @minus{}2 GiB and +2 GiB. Programs can be
31258 statically or dynamically linked. This is the default code model.
31260 @opindex mcmodel=medany
31261 @item -mcmodel=medany
31262 Generate code for the medium-any code model. The program and its statically
31263 defined symbols must be within any single 2 GiB address range. Programs can be
31264 statically or dynamically linked.
31266 The code generated by the medium-any code model is position-independent, but is
31267 not guaranteed to function correctly when linked into position-independent
31268 executables or libraries.
31270 @opindex mcmodel=large
31271 @item -mcmodel=large
31272 Generate code for a large code model, which has no restrictions on size or
31273 placement of symbols.
31275 @item -mexplicit-relocs
31276 @itemx -mno-exlicit-relocs
31277 Use or do not use assembler relocation operators when dealing with symbolic
31278 addresses. The alternative is to use assembler macros instead, which may
31279 limit optimization.
31284 Take advantage of linker relaxations to reduce the number of instructions
31285 required to materialize symbol addresses. The default is to take advantage of
31286 linker relaxations.
31288 @opindex mriscv-attribute
31289 @item -mriscv-attribute
31290 @itemx -mno-riscv-attribute
31291 Emit (do not emit) RISC-V attribute to record extra information into ELF
31292 objects. This feature requires at least binutils 2.32.
31294 @opindex mcsr-check
31296 @itemx -mno-csr-check
31297 Enables or disables the CSR checking.
31299 @opindex malign-data
31300 @item -malign-data=@var{type}
31301 Control how GCC aligns variables and constants of array, structure, or union
31302 types. Supported values for @var{type} are @samp{xlen} which uses x register
31303 width as the alignment value, and @samp{natural} which uses natural alignment.
31304 @samp{xlen} is the default.
31306 @opindex mbig-endian
31308 Generate big-endian code. This is the default when GCC is configured for a
31309 @samp{riscv64be-*-*} or @samp{riscv32be-*-*} target.
31311 @opindex mlittle-endian
31312 @item -mlittle-endian
31313 Generate little-endian code. This is the default when GCC is configured for a
31314 @samp{riscv64-*-*} or @samp{riscv32-*-*} but not a @samp{riscv64be-*-*} or
31315 @samp{riscv32be-*-*} target.
31317 @opindex mstack-protector-guard
31318 @opindex mstack-protector-guard-reg
31319 @opindex mstack-protector-guard-offset
31320 @item -mstack-protector-guard=@var{guard}
31321 @itemx -mstack-protector-guard-reg=@var{reg}
31322 @itemx -mstack-protector-guard-offset=@var{offset}
31323 Generate stack protection code using canary at @var{guard}. Supported
31324 locations are @samp{global} for a global canary or @samp{tls} for per-thread
31325 canary in the TLS block.
31327 With the latter choice the options
31328 @option{-mstack-protector-guard-reg=@var{reg}} and
31329 @option{-mstack-protector-guard-offset=@var{offset}} furthermore specify
31330 which register to use as base register for reading the canary,
31331 and from what offset from that base register. There is no default
31332 register or offset as this is entirely for use within the Linux
31335 @opindex mtls-dialect=desc
31336 @item -mtls-dialect=desc
31337 Use TLS descriptors as the thread-local storage mechanism for dynamic accesses
31340 @opindex mtls-dialect=trad
31341 @item -mtls-dialect=trad
31342 Use traditional TLS as the thread-local storage mechanism for dynamic accesses
31343 of TLS variables. This is the default.
31347 @subsection RL78 Options
31348 @cindex RL78 Options
31354 Links in additional target libraries to support operation within a
31363 Specifies the type of hardware multiplication and division support to
31364 be used. The simplest is @code{none}, which uses software for both
31365 multiplication and division. This is the default. The @code{g13}
31366 value is for the hardware multiply/divide peripheral found on the
31367 RL78/G13 (S2 core) targets. The @code{g14} value selects the use of
31368 the multiplication and division instructions supported by the RL78/G14
31369 (S3 core) parts. The value @code{rl78} is an alias for @code{g14} and
31370 the value @code{mg10} is an alias for @code{none}.
31372 In addition a C preprocessor macro is defined, based upon the setting
31373 of this option. Possible values are: @code{__RL78_MUL_NONE__},
31374 @code{__RL78_MUL_G13__} or @code{__RL78_MUL_G14__}.
31381 Specifies the RL78 core to target. The default is the G14 core, also
31382 known as an S3 core or just RL78. The G13 or S2 core does not have
31383 multiply or divide instructions, instead it uses a hardware peripheral
31384 for these operations. The G10 or S1 core does not have register
31385 banks, so it uses a different calling convention.
31387 If this option is set it also selects the type of hardware multiply
31388 support to use, unless this is overridden by an explicit
31389 @option{-mmul=none} option on the command line. Thus specifying
31390 @option{-mcpu=g13} enables the use of the G13 hardware multiply
31391 peripheral and specifying @option{-mcpu=g10} disables the use of
31392 hardware multiplications altogether.
31394 Note, although the RL78/G14 core is the default target, specifying
31395 @option{-mcpu=g14} or @option{-mcpu=rl78} on the command line does
31396 change the behavior of the toolchain since it also enables G14
31397 hardware multiply support. If these options are not specified on the
31398 command line then software multiplication routines will be used even
31399 though the code targets the RL78 core. This is for backwards
31400 compatibility with older toolchains which did not have hardware
31401 multiply and divide support.
31403 In addition a C preprocessor macro is defined, based upon the setting
31404 of this option. Possible values are: @code{__RL78_G10__},
31405 @code{__RL78_G13__} or @code{__RL78_G14__}.
31415 These are aliases for the corresponding @option{-mcpu=} option. They
31416 are provided for backwards compatibility.
31420 Allow the compiler to use all of the available registers. By default
31421 registers @code{r24..r31} are reserved for use in interrupt handlers.
31422 With this option enabled these registers can be used in ordinary
31425 @opindex m64bit-doubles
31426 @opindex m32bit-doubles
31427 @item -m64bit-doubles
31428 @itemx -m32bit-doubles
31429 Make the @code{double} data type be 64 bits (@option{-m64bit-doubles})
31430 or 32 bits (@option{-m32bit-doubles}) in size. The default is
31431 @option{-m32bit-doubles}.
31433 @opindex msave-mduc-in-interrupts
31434 @opindex mno-save-mduc-in-interrupts
31435 @item -msave-mduc-in-interrupts
31436 @itemx -mno-save-mduc-in-interrupts
31437 Specifies that interrupt handler functions should preserve the
31438 MDUC registers. This is only necessary if normal code might use
31439 the MDUC registers, for example because it performs multiplication
31440 and division operations. The default is to ignore the MDUC registers
31441 as this makes the interrupt handlers faster. The target option -mg13
31442 needs to be passed for this to work as this feature is only available
31443 on the G13 target (S2 core). The MDUC registers will only be saved
31444 if the interrupt handler performs a multiplication or division
31445 operation or it calls another function.
31449 @node RS/6000 and PowerPC Options
31450 @subsection IBM RS/6000 and PowerPC Options
31451 @cindex RS/6000 and PowerPC Options
31452 @cindex IBM RS/6000 and PowerPC Options
31454 These @samp{-m} options are defined for the IBM RS/6000 and PowerPC:
31456 @item -mpowerpc-gpopt
31457 @itemx -mno-powerpc-gpopt
31458 @itemx -mpowerpc-gfxopt
31459 @itemx -mno-powerpc-gfxopt
31462 @itemx -mno-powerpc64
31466 @itemx -mno-popcntb
31468 @itemx -mno-popcntd
31472 @opindex mpowerpc-gpopt
31473 @opindex mno-powerpc-gpopt
31474 @opindex mpowerpc-gfxopt
31475 @opindex mno-powerpc-gfxopt
31476 @opindex mpowerpc64
31477 @opindex mno-powerpc64
31481 @opindex mno-popcntb
31483 @opindex mno-popcntd
31489 @opindex mno-hard-dfp
31493 @itemx -mno-hard-dfp
31494 You use these options to specify which instructions are available on the
31495 processor you are using. The default value of these options is
31496 determined when configuring GCC@. Specifying the
31497 @option{-mcpu=@var{cpu_type}} overrides the specification of these
31498 options. We recommend you use the @option{-mcpu=@var{cpu_type}} option
31499 rather than the options listed above.
31501 Specifying @option{-mpowerpc-gpopt} allows
31502 GCC to use the optional PowerPC architecture instructions in the
31503 General Purpose group, including floating-point square root. Specifying
31504 @option{-mpowerpc-gfxopt} allows GCC to
31505 use the optional PowerPC architecture instructions in the Graphics
31506 group, including floating-point select.
31508 The @option{-mmfcrf} option allows GCC to generate the move from
31509 condition register field instruction implemented on the POWER4
31510 processor and other processors that support the PowerPC V2.01
31512 The @option{-mpopcntb} option allows GCC to generate the popcount and
31513 double-precision FP reciprocal estimate instruction implemented on the
31514 POWER5 processor and other processors that support the PowerPC V2.02
31516 The @option{-mpopcntd} option allows GCC to generate the popcount
31517 instruction implemented on the POWER7 processor and other processors
31518 that support the PowerPC V2.06 architecture.
31519 The @option{-mfprnd} option allows GCC to generate the FP round to
31520 integer instructions implemented on the POWER5+ processor and other
31521 processors that support the PowerPC V2.03 architecture.
31522 The @option{-mcmpb} option allows GCC to generate the compare bytes
31523 instruction implemented on the POWER6 processor and other processors
31524 that support the PowerPC V2.05 architecture.
31525 The @option{-mhard-dfp} option allows GCC to generate the decimal
31526 floating-point instructions implemented on some POWER processors.
31528 The @option{-mpowerpc64} option allows GCC to generate the additional
31529 64-bit instructions that are found in the full PowerPC64 architecture
31530 and to treat GPRs as 64-bit, doubleword quantities. GCC defaults to
31531 @option{-mno-powerpc64}.
31534 @item -mcpu=@var{cpu_type}
31535 Set architecture type, register usage, and
31536 instruction scheduling parameters for machine type @var{cpu_type}.
31537 Supported values for @var{cpu_type} are @samp{401}, @samp{403},
31538 @samp{405}, @samp{405fp}, @samp{440}, @samp{440fp}, @samp{464}, @samp{464fp},
31539 @samp{476}, @samp{476fp}, @samp{505}, @samp{601}, @samp{602}, @samp{603},
31540 @samp{603e}, @samp{604}, @samp{604e}, @samp{620}, @samp{630}, @samp{740},
31541 @samp{7400}, @samp{7450}, @samp{750}, @samp{801}, @samp{821}, @samp{823},
31542 @samp{860}, @samp{970}, @samp{8540}, @samp{a2}, @samp{e300c2},
31543 @samp{e300c3}, @samp{e500mc}, @samp{e500mc64}, @samp{e5500},
31544 @samp{e6500}, @samp{ec603e}, @samp{G3}, @samp{G4}, @samp{G5},
31545 @samp{titan}, @samp{power3}, @samp{power4}, @samp{power5}, @samp{power5+},
31546 @samp{power6}, @samp{power6x}, @samp{power7}, @samp{power8},
31547 @samp{power9}, @samp{power10}, @samp{power11}, @samp{powerpc}, @samp{powerpc64},
31548 @samp{powerpc64le}, @samp{rs64}, and @samp{native}.
31550 @option{-mcpu=powerpc}, @option{-mcpu=powerpc64}, and
31551 @option{-mcpu=powerpc64le} specify pure 32-bit PowerPC (either
31552 endian), 64-bit big endian PowerPC and 64-bit little endian PowerPC
31553 architecture machine types, with an appropriate, generic processor
31554 model assumed for scheduling purposes.
31556 Specifying @samp{native} as cpu type detects and selects the
31557 architecture option that corresponds to the host processor of the
31558 system performing the compilation.
31559 @option{-mcpu=native} has no effect if GCC does not recognize the
31562 The other options specify a specific processor. Code generated under
31563 those options runs best on that processor, and may not run at all on
31566 The @option{-mcpu} options automatically enable or disable the
31569 @gccoptlist{-maltivec -mfprnd -mhard-float -mmfcrf -mmultiple
31570 -mpopcntb -mpopcntd -mpowerpc64
31571 -mpowerpc-gpopt -mpowerpc-gfxopt
31572 -mmulhw -mdlmzb -mmfpgpr -mvsx
31573 -mcrypto -mhtm -mpower8-fusion
31574 -mquad-memory -mquad-memory-atomic -mfloat128
31575 -mfloat128-hardware -mprefixed -mpcrel -mmma
31578 The particular options set for any particular CPU varies between
31579 compiler versions, depending on what setting seems to produce optimal
31580 code for that CPU; it doesn't necessarily reflect the actual hardware's
31581 capabilities. If you wish to set an individual option to a particular
31582 value, you may specify it after the @option{-mcpu} option, like
31583 @option{-mcpu=970 -mno-altivec}.
31585 On AIX, the @option{-maltivec} and @option{-mpowerpc64} options are
31586 not enabled or disabled by the @option{-mcpu} option at present because
31587 AIX does not have full support for these options. You may still
31588 enable or disable them individually if you're sure it'll work in your
31592 @item -mtune=@var{cpu_type}
31593 Set the instruction scheduling parameters for machine type
31594 @var{cpu_type}, but do not set the architecture type or register usage,
31595 as @option{-mcpu=@var{cpu_type}} does. The same
31596 values for @var{cpu_type} are used for @option{-mtune} as for
31597 @option{-mcpu}. If both are specified, the code generated uses the
31598 architecture and registers set by @option{-mcpu}, but the
31599 scheduling parameters set by @option{-mtune}.
31602 @opindex mcmodel=small
31603 @item -mcmodel=small
31604 Generate PowerPC64 code for the small model: The TOC is limited to
31607 @opindex mcmodel=medium
31608 @item -mcmodel=medium
31609 Generate PowerPC64 code for the medium model: The TOC and other static
31610 data may be up to a total of 4G in size. This is the default for 64-bit
31613 @opindex mcmodel=large
31614 @item -mcmodel=large
31615 Generate PowerPC64 code for the large model: The TOC may be up to 4G
31616 in size. Other data and code is only limited by the 64-bit address
31620 @opindex mno-altivec
31622 @itemx -mno-altivec
31623 Generate code that uses (does not use) AltiVec instructions, and also
31624 enable the use of built-in functions that allow more direct access to
31625 the AltiVec instruction set. You may also need to set
31626 @option{-mabi=altivec} to adjust the current ABI with AltiVec ABI
31629 When @option{-maltivec} is used, the element order for AltiVec intrinsics
31630 such as @code{vec_splat}, @code{vec_extract}, and @code{vec_insert}
31631 match array element order corresponding to the endianness of the
31632 target. That is, element zero identifies the leftmost element in a
31633 vector register when targeting a big-endian platform, and identifies
31634 the rightmost element in a vector register when targeting a
31635 little-endian platform.
31638 @opindex mno-vrsave
31641 Generate VRSAVE instructions when generating AltiVec code.
31643 @opindex msecure-plt
31645 Generate code that allows @command{ld} and @command{ld.so}
31646 to build executables and shared
31647 libraries with non-executable @code{.plt} and @code{.got} sections.
31649 32-bit SYSV ABI option.
31653 Generate code that uses a BSS @code{.plt} section that @command{ld.so}
31655 requires @code{.plt} and @code{.got}
31656 sections that are both writable and executable.
31657 This is a PowerPC 32-bit SYSV ABI option.
31663 This switch enables or disables the generation of ISEL instructions.
31669 Generate code that uses (does not use) vector/scalar (VSX)
31670 instructions, and also enable the use of built-in functions that allow
31671 more direct access to the VSX instruction set.
31674 @opindex mno-crypto
31677 Enable the use (disable) of the built-in functions that allow direct
31678 access to the cryptographic instructions that were added in version
31679 2.07 of the PowerPC ISA.
31685 Enable (disable) the use of the built-in functions that allow direct
31686 access to the Hardware Transactional Memory (HTM) instructions that
31687 were added in version 2.07 of the PowerPC ISA.
31689 @opindex mpower8-fusion
31690 @opindex mno-power8-fusion
31691 @item -mpower8-fusion
31692 @itemx -mno-power8-fusion
31693 Generate code that keeps (does not keeps) some integer operations
31694 adjacent so that the instructions can be fused together on power8 and
31697 @opindex mquad-memory
31698 @opindex mno-quad-memory
31699 @item -mquad-memory
31700 @itemx -mno-quad-memory
31701 Generate code that uses (does not use) the non-atomic quad word memory
31702 instructions. The @option{-mquad-memory} option requires use of
31705 @opindex mquad-memory-atomic
31706 @opindex mno-quad-memory-atomic
31707 @item -mquad-memory-atomic
31708 @itemx -mno-quad-memory-atomic
31709 Generate code that uses (does not use) the atomic quad word memory
31710 instructions. The @option{-mquad-memory-atomic} option requires use of
31714 @opindex mno-float128
31716 @itemx -mno-float128
31717 Enable/disable the @var{__float128} keyword for IEEE 128-bit floating point
31718 and use either software emulation for IEEE 128-bit floating point or
31719 hardware instructions.
31721 The VSX instruction set (@option{-mvsx}) must be enabled to use the IEEE
31722 128-bit floating point support. The IEEE 128-bit floating point is only
31723 supported on Linux.
31725 The default for @option{-mfloat128} is enabled on PowerPC Linux
31726 systems using the VSX instruction set, and disabled on other systems.
31728 If you use the ISA 3.0 instruction set (@option{-mcpu=power9}) on a
31729 64-bit system, the IEEE 128-bit floating point support will also enable
31730 the generation of ISA 3.0 IEEE 128-bit floating point instructions.
31731 Otherwise, if you do not specify to generate ISA 3.0 instructions or you
31732 are targeting a 32-bit big endian system, IEEE 128-bit floating point
31733 will be done with software emulation.
31735 @opindex mfloat128-hardware
31736 @opindex mno-float128-hardware
31737 @item -mfloat128-hardware
31738 @itemx -mno-float128-hardware
31739 Enable/disable using ISA 3.0 hardware instructions to support the
31740 @var{__float128} data type.
31742 The default for @option{-mfloat128-hardware} is enabled on PowerPC
31743 Linux systems using the ISA 3.0 instruction set, and disabled on other
31750 Generate code for 32-bit or 64-bit environments of Darwin and SVR4
31751 targets (including GNU/Linux). The 32-bit environment sets int, long
31752 and pointer to 32 bits and generates code that runs on any PowerPC
31753 variant. The 64-bit environment sets int to 32 bits and long and
31754 pointer to 64 bits, and generates code for PowerPC64, as for
31755 @option{-mpowerpc64}.
31758 @opindex mno-fp-in-toc
31759 @opindex mno-sum-in-toc
31760 @opindex mminimal-toc
31762 @itemx -mno-fp-in-toc
31763 @itemx -mno-sum-in-toc
31764 @itemx -mminimal-toc
31765 Modify generation of the TOC (Table Of Contents), which is created for
31766 every executable file. The @option{-mfull-toc} option is selected by
31767 default. In that case, GCC allocates at least one TOC entry for
31768 each unique non-automatic variable reference in your program. GCC
31769 also places floating-point constants in the TOC@. However, only
31770 16,384 entries are available in the TOC@.
31772 If you receive a linker error message that saying you have overflowed
31773 the available TOC space, you can reduce the amount of TOC space used
31774 with the @option{-mno-fp-in-toc} and @option{-mno-sum-in-toc} options.
31775 @option{-mno-fp-in-toc} prevents GCC from putting floating-point
31776 constants in the TOC and @option{-mno-sum-in-toc} forces GCC to
31777 generate code to calculate the sum of an address and a constant at
31778 run time instead of putting that sum into the TOC@. You may specify one
31779 or both of these options. Each causes GCC to produce very slightly
31780 slower and larger code at the expense of conserving TOC space.
31782 If you still run out of space in the TOC even when you specify both of
31783 these options, specify @option{-mminimal-toc} instead. This option causes
31784 GCC to make only one TOC entry for every file. When you specify this
31785 option, GCC produces code that is slower and larger but which
31786 uses extremely little TOC space. You may wish to use this option
31787 only on files that contain less frequently-executed code.
31793 Enable 64-bit AIX ABI and calling convention: 64-bit pointers, 64-bit
31794 @code{long} type, and the infrastructure needed to support them.
31795 Specifying @option{-maix64} implies @option{-mpowerpc64},
31796 while @option{-maix32} disables the 64-bit ABI and
31797 implies @option{-mno-powerpc64}. GCC defaults to @option{-maix32}.
31799 @opindex mxl-compat
31800 @opindex mno-xl-compat
31802 @itemx -mno-xl-compat
31803 Produce code that conforms more closely to IBM XL compiler semantics
31804 when using AIX-compatible ABI@. Pass floating-point arguments to
31805 prototyped functions beyond the register save area (RSA) on the stack
31806 in addition to argument FPRs. Do not assume that most significant
31807 double in 128-bit long double value is properly rounded when comparing
31808 values and converting to double. Use XL symbol names for long double
31811 The AIX calling convention was extended but not initially documented to
31812 handle an obscure K&R C case of calling a function that takes the
31813 address of its arguments with fewer arguments than declared. IBM XL
31814 compilers access floating-point arguments that do not fit in the
31815 RSA from the stack when a subroutine is compiled without
31816 optimization. Because always storing floating-point arguments on the
31817 stack is inefficient and rarely needed, this option is not enabled by
31818 default and only is necessary when calling subroutines compiled by IBM
31819 XL compilers without optimization.
31823 Support @dfn{IBM RS/6000 SP} @dfn{Parallel Environment} (PE)@. Link an
31824 application written to use message passing with special startup code to
31825 enable the application to run. The system must have PE installed in the
31826 standard location (@file{/usr/lpp/ppe.poe/}), or the @file{specs} file
31827 must be overridden with the @option{-specs=} option to specify the
31828 appropriate directory location. The Parallel Environment does not
31829 support threads, so the @option{-mpe} option and the @option{-pthread}
31830 option are incompatible.
31832 @opindex malign-natural
31833 @opindex malign-power
31834 @item -malign-natural
31835 @itemx -malign-power
31836 On AIX, 32-bit Darwin, and 64-bit PowerPC GNU/Linux, the option
31837 @option{-malign-natural} overrides the ABI-defined alignment of larger
31838 types, such as floating-point doubles, on their natural size-based boundary.
31839 The option @option{-malign-power} instructs GCC to follow the ABI-specified
31840 alignment rules. GCC defaults to the standard alignment defined in the ABI@.
31842 On 64-bit Darwin, natural alignment is the default, and @option{-malign-power}
31845 @opindex msoft-float
31846 @opindex mhard-float
31848 @itemx -mhard-float
31849 Generate code that does not use (uses) the floating-point register set.
31850 Software floating-point emulation is provided if you use the
31851 @option{-msoft-float} option, and pass the option to GCC when linking.
31854 @opindex mno-multiple
31856 @itemx -mno-multiple
31857 Generate code that uses (does not use) the load multiple word
31858 instructions and the store multiple word instructions. These
31859 instructions are generated by default on POWER systems, and not
31860 generated on PowerPC systems. Do not use @option{-mmultiple} on little-endian
31861 PowerPC systems, since those instructions do not work when the
31862 processor is in little-endian mode. The exceptions are PPC740 and
31863 PPC750 which permit these instructions in little-endian mode.
31866 @opindex mno-update
31869 Generate code that uses (does not use) the load or store instructions
31870 that update the base register to the address of the calculated memory
31871 location. These instructions are generated by default. If you use
31872 @option{-mno-update}, there is a small window between the time that the
31873 stack pointer is updated and the address of the previous frame is
31874 stored, which means code that walks the stack frame across interrupts or
31875 signals may get corrupted data.
31877 @opindex mavoid-indexed-addresses
31878 @opindex mno-avoid-indexed-addresses
31879 @item -mavoid-indexed-addresses
31880 @itemx -mno-avoid-indexed-addresses
31881 Generate code that tries to avoid (not avoid) the use of indexed load
31882 or store instructions. These instructions can incur a performance
31883 penalty on Power6 processors in certain situations, such as when
31884 stepping through large arrays that cross a 16M boundary. This option
31885 is enabled by default when targeting Power6 and disabled otherwise.
31887 @opindex mfused-madd
31888 @opindex mno-fused-madd
31890 @itemx -mno-fused-madd
31891 Generate code that uses (does not use) the floating-point multiply and
31892 accumulate instructions. These instructions are generated by default
31893 if hardware floating point is used. The machine-dependent
31894 @option{-mfused-madd} option is now mapped to the machine-independent
31895 @option{-ffp-contract=fast} option, and @option{-mno-fused-madd} is
31896 mapped to @option{-ffp-contract=off}.
31902 Generate code that uses (does not use) the half-word multiply and
31903 multiply-accumulate instructions on the IBM 405, 440, 464 and 476 processors.
31904 These instructions are generated by default when targeting those
31911 Generate code that uses (does not use) the string-search @samp{dlmzb}
31912 instruction on the IBM 405, 440, 464 and 476 processors. This instruction is
31913 generated by default when targeting those processors.
31915 @opindex mno-bit-align
31916 @opindex mbit-align
31917 @item -mno-bit-align
31919 On System V.4 and embedded PowerPC systems do not (do) force structures
31920 and unions that contain bit-fields to be aligned to the base type of the
31923 For example, by default a structure containing nothing but 8
31924 @code{unsigned} bit-fields of length 1 is aligned to a 4-byte
31925 boundary and has a size of 4 bytes. By using @option{-mno-bit-align},
31926 the structure is aligned to a 1-byte boundary and is 1 byte in
31929 @opindex mno-strict-align
31930 @opindex mstrict-align
31931 @item -mno-strict-align
31932 @itemx -mstrict-align
31933 On System V.4 and embedded PowerPC systems do not (do) assume that
31934 unaligned memory references are handled by the system.
31936 @opindex mrelocatable
31937 @opindex mno-relocatable
31938 @item -mrelocatable
31939 @itemx -mno-relocatable
31940 Generate code that allows (does not allow) a static executable to be
31941 relocated to a different address at run time. A simple embedded
31942 PowerPC system loader should relocate the entire contents of
31943 @code{.got2} and 4-byte locations listed in the @code{.fixup} section,
31944 a table of 32-bit addresses generated by this option. For this to
31945 work, all objects linked together must be compiled with
31946 @option{-mrelocatable} or @option{-mrelocatable-lib}.
31947 @option{-mrelocatable} code aligns the stack to an 8-byte boundary.
31949 @opindex mrelocatable-lib
31950 @opindex mno-relocatable-lib
31951 @item -mrelocatable-lib
31952 @itemx -mno-relocatable-lib
31953 Like @option{-mrelocatable}, @option{-mrelocatable-lib} generates a
31954 @code{.fixup} section to allow static executables to be relocated at
31955 run time, but @option{-mrelocatable-lib} does not use the smaller stack
31956 alignment of @option{-mrelocatable}. Objects compiled with
31957 @option{-mrelocatable-lib} may be linked with objects compiled with
31958 any combination of the @option{-mrelocatable} options.
31964 On System V.4 and embedded PowerPC systems do not (do) assume that
31965 register 2 contains a pointer to a global area pointing to the addresses
31966 used in the program.
31969 @opindex mlittle-endian
31971 @itemx -mlittle-endian
31972 On System V.4 and embedded PowerPC systems compile code for the
31973 processor in little-endian mode. The @option{-mlittle-endian} option is
31974 the same as @option{-mlittle}.
31977 @opindex mbig-endian
31979 @itemx -mbig-endian
31980 On System V.4 and embedded PowerPC systems compile code for the
31981 processor in big-endian mode. The @option{-mbig-endian} option is
31982 the same as @option{-mbig}.
31984 @opindex mdynamic-no-pic
31985 @item -mdynamic-no-pic
31986 On Darwin / macOS systems, compile code so that it is not
31987 relocatable, but that its external references are relocatable. The
31988 resulting code is suitable for applications, but not shared
31991 @opindex msingle-pic-base
31992 @item -msingle-pic-base
31993 Treat the register used for PIC addressing as read-only, rather than
31994 loading it in the prologue for each function. The runtime system is
31995 responsible for initializing this register with an appropriate value
31996 before execution begins.
31998 @opindex mprioritize-restricted-insns
31999 @item -mprioritize-restricted-insns=@var{priority}
32000 This option controls the priority that is assigned to
32001 dispatch-slot restricted instructions during the second scheduling
32002 pass. The argument @var{priority} takes the value @samp{0}, @samp{1},
32003 or @samp{2} to assign no, highest, or second-highest (respectively)
32004 priority to dispatch-slot restricted
32007 @opindex msched-costly-dep
32008 @item -msched-costly-dep=@var{dependence_type}
32009 This option controls which dependences are considered costly
32010 by the target during instruction scheduling. The argument
32011 @var{dependence_type} takes one of the following values:
32015 No dependence is costly.
32018 All dependences are costly.
32020 @item @samp{true_store_to_load}
32021 A true dependence from store to load is costly.
32023 @item @samp{store_to_load}
32024 Any dependence from store to load is costly.
32027 Any dependence for which the latency is greater than or equal to
32028 @var{number} is costly.
32031 @opindex minsert-sched-nops
32032 @item -minsert-sched-nops=@var{scheme}
32033 This option controls which NOP insertion scheme is used during
32034 the second scheduling pass. The argument @var{scheme} takes one of the
32042 Pad with NOPs any dispatch group that has vacant issue slots,
32043 according to the scheduler's grouping.
32045 @item @samp{regroup_exact}
32046 Insert NOPs to force costly dependent insns into
32047 separate groups. Insert exactly as many NOPs as needed to force an insn
32048 to a new group, according to the estimated processor grouping.
32051 Insert NOPs to force costly dependent insns into
32052 separate groups. Insert @var{number} NOPs to force an insn to a new group.
32055 @opindex mcall-sysv
32057 On System V.4 and embedded PowerPC systems compile code using calling
32058 conventions that adhere to the March 1995 draft of the System V
32059 Application Binary Interface, PowerPC processor supplement. This is the
32060 default unless you configured GCC using @samp{powerpc-*-eabiaix}.
32062 @opindex mcall-sysv-eabi
32063 @opindex mcall-eabi
32064 @item -mcall-sysv-eabi
32066 Specify both @option{-mcall-sysv} and @option{-meabi} options.
32068 @opindex mcall-sysv-noeabi
32069 @item -mcall-sysv-noeabi
32070 Specify both @option{-mcall-sysv} and @option{-mno-eabi} options.
32072 @opindex mcall-aixdesc
32073 @item -mcall-aixdesc
32074 On System V.4 and embedded PowerPC systems compile code for the AIX
32077 @opindex mcall-linux
32079 On System V.4 and embedded PowerPC systems compile code for the
32080 Linux-based GNU system.
32082 @opindex mcall-freebsd
32083 @item -mcall-freebsd
32084 On System V.4 and embedded PowerPC systems compile code for the
32085 FreeBSD operating system.
32087 @opindex mcall-netbsd
32088 @item -mcall-netbsd
32089 On System V.4 and embedded PowerPC systems compile code for the
32090 NetBSD operating system.
32092 @opindex mcall-openbsd
32093 @item -mcall-openbsd
32094 On System V.4 and embedded PowerPC systems compile code for the
32095 OpenBSD operating system.
32097 @opindex mtraceback
32098 @item -mtraceback=@var{traceback_type}
32099 Select the type of traceback table. Valid values for @var{traceback_type}
32100 are @samp{full}, @samp{part}, and @samp{no}.
32102 @opindex maix-struct-return
32103 @item -maix-struct-return
32104 Return all structures in memory (as specified by the AIX ABI)@.
32106 @opindex msvr4-struct-return
32107 @item -msvr4-struct-return
32108 Return structures smaller than 8 bytes in registers (as specified by the
32112 @item -mabi=@var{abi-type}
32113 Extend the current ABI with a particular extension, or remove such extension.
32114 Valid values are: @samp{altivec}, @samp{no-altivec},
32115 @samp{ibmlongdouble}, @samp{ieeelongdouble},
32116 @samp{elfv1}, @samp{elfv2},
32117 and for AIX: @samp{vec-extabi}, @samp{vec-default}@.
32119 @opindex mabi=ibmlongdouble
32120 @item -mabi=ibmlongdouble
32121 Change the current ABI to use IBM extended-precision long double.
32122 This is not likely to work if your system defaults to using IEEE
32123 extended-precision long double. If you change the long double type
32124 from IEEE extended-precision, the compiler will issue a warning unless
32125 you use the @option{-Wno-psabi} option. Requires @option{-mlong-double-128}
32128 @opindex mabi=ieeelongdouble
32129 @item -mabi=ieeelongdouble
32130 Change the current ABI to use IEEE extended-precision long double.
32131 This is not likely to work if your system defaults to using IBM
32132 extended-precision long double. If you change the long double type
32133 from IBM extended-precision, the compiler will issue a warning unless
32134 you use the @option{-Wno-psabi} option. Requires @option{-mlong-double-128}
32137 @opindex mabi=elfv1
32139 Change the current ABI to use the ELFv1 ABI.
32140 This is the default ABI for big-endian PowerPC 64-bit Linux.
32141 Overriding the default ABI requires special system support and is
32142 likely to fail in spectacular ways.
32144 @opindex mabi=elfv2
32146 Change the current ABI to use the ELFv2 ABI.
32147 This is the default ABI for little-endian PowerPC 64-bit Linux.
32148 Overriding the default ABI requires special system support and is
32149 likely to fail in spectacular ways.
32151 @opindex mgnu-attribute
32152 @opindex mno-gnu-attribute
32153 @item -mgnu-attribute
32154 @itemx -mno-gnu-attribute
32155 Emit .gnu_attribute assembly directives to set tag/value pairs in a
32156 .gnu.attributes section that specify ABI variations in function
32157 parameters or return values.
32159 @opindex mprototype
32160 @opindex mno-prototype
32162 @itemx -mno-prototype
32163 On System V.4 and embedded PowerPC systems assume that all calls to
32164 variable argument functions are properly prototyped. Otherwise, the
32165 compiler must insert an instruction before every non-prototyped call to
32166 set or clear bit 6 of the condition code register (@code{CR}) to
32167 indicate whether floating-point values are passed in the floating-point
32168 registers in case the function takes variable arguments. With
32169 @option{-mprototype}, only calls to prototyped variable argument functions
32170 set or clear the bit.
32174 On embedded PowerPC systems, assume that the startup module is called
32175 @file{sim-crt0.o} and that the standard C libraries are @file{libsim.a} and
32176 @file{libc.a}. This is the default for @samp{powerpc-*-eabisim}
32181 On embedded PowerPC systems, assume that the startup module is called
32182 @file{crt0.o} and the standard C libraries are @file{libmvme.a} and
32187 On embedded PowerPC systems, assume that the startup module is called
32188 @file{crt0.o} and the standard C libraries are @file{libads.a} and
32191 @opindex myellowknife
32192 @item -myellowknife
32193 On embedded PowerPC systems, assume that the startup module is called
32194 @file{crt0.o} and the standard C libraries are @file{libyk.a} and
32199 On System V.4 and embedded PowerPC systems, specify that you are
32200 compiling for a VxWorks system.
32204 On embedded PowerPC systems, set the @code{PPC_EMB} bit in the ELF flags
32205 header to indicate that @samp{eabi} extended relocations are used.
32211 On System V.4 and embedded PowerPC systems do (do not) adhere to the
32212 Embedded Applications Binary Interface (EABI), which is a set of
32213 modifications to the System V.4 specifications. Selecting @option{-meabi}
32214 means that the stack is aligned to an 8-byte boundary, a function
32215 @code{__eabi} is called from @code{main} to set up the EABI
32216 environment, and the @option{-msdata} option can use both @code{r2} and
32217 @code{r13} to point to two separate small data areas. Selecting
32218 @option{-mno-eabi} means that the stack is aligned to a 16-byte boundary,
32219 no EABI initialization function is called from @code{main}, and the
32220 @option{-msdata} option only uses @code{r13} to point to a single
32221 small data area. The @option{-meabi} option is on by default if you
32222 configured GCC using one of the @samp{powerpc*-*-eabi*} options.
32224 @opindex msdata=eabi
32226 On System V.4 and embedded PowerPC systems, put small initialized
32227 @code{const} global and static data in the @code{.sdata2} section, which
32228 is pointed to by register @code{r2}. Put small initialized
32229 non-@code{const} global and static data in the @code{.sdata} section,
32230 which is pointed to by register @code{r13}. Put small uninitialized
32231 global and static data in the @code{.sbss} section, which is adjacent to
32232 the @code{.sdata} section. The @option{-msdata=eabi} option is
32233 incompatible with the @option{-mrelocatable} option. The
32234 @option{-msdata=eabi} option also sets the @option{-memb} option.
32236 @opindex msdata=sysv
32238 On System V.4 and embedded PowerPC systems, put small global and static
32239 data in the @code{.sdata} section, which is pointed to by register
32240 @code{r13}. Put small uninitialized global and static data in the
32241 @code{.sbss} section, which is adjacent to the @code{.sdata} section.
32242 The @option{-msdata=sysv} option is incompatible with the
32243 @option{-mrelocatable} option.
32245 @opindex msdata=default
32247 @item -msdata=default
32249 On System V.4 and embedded PowerPC systems, if @option{-meabi} is used,
32250 compile code the same as @option{-msdata=eabi}, otherwise compile code the
32251 same as @option{-msdata=sysv}.
32253 @opindex msdata=data
32255 On System V.4 and embedded PowerPC systems, put small global
32256 data in the @code{.sdata} section. Put small uninitialized global
32257 data in the @code{.sbss} section. Do not use register @code{r13}
32258 to address small data however. This is the default behavior unless
32259 other @option{-msdata} options are used.
32261 @opindex msdata=none
32265 On embedded PowerPC systems, put all initialized global and static data
32266 in the @code{.data} section, and all uninitialized data in the
32267 @code{.bss} section.
32269 @opindex mreadonly-in-sdata
32270 @opindex mno-readonly-in-sdata
32271 @item -mreadonly-in-sdata
32272 Put read-only objects in the @code{.sdata} section as well. This is the
32275 @opindex mblock-move-inline-limit
32276 @item -mblock-move-inline-limit=@var{num}
32277 Inline all block moves (such as calls to @code{memcpy} or structure
32278 copies) less than or equal to @var{num} bytes. The minimum value for
32279 @var{num} is 32 bytes on 32-bit targets and 64 bytes on 64-bit
32280 targets. The default value is target-specific.
32282 @opindex mblock-compare-inline-limit
32283 @item -mblock-compare-inline-limit=@var{num}
32284 Generate non-looping inline code for all block compares (such as calls
32285 to @code{memcmp} or structure compares) less than or equal to @var{num}
32286 bytes. If @var{num} is 0, all inline expansion (non-loop and loop) of
32287 block compare is disabled. The default value is target-specific.
32289 @opindex mblock-compare-inline-loop-limit
32290 @item -mblock-compare-inline-loop-limit=@var{num}
32291 Generate an inline expansion using loop code for all block compares that
32292 are less than or equal to @var{num} bytes, but greater than the limit
32293 for non-loop inline block compare expansion. If the block length is not
32294 constant, at most @var{num} bytes will be compared before @code{memcmp}
32295 is called to compare the remainder of the block. The default value is
32298 @opindex mstring-compare-inline-limit
32299 @item -mstring-compare-inline-limit=@var{num}
32300 Compare at most @var{num} string bytes with inline code.
32301 If the difference or end of string is not found at the
32302 end of the inline compare a call to @code{strcmp} or @code{strncmp} will
32303 take care of the rest of the comparison. The default is 64 bytes.
32306 @cindex smaller data references (PowerPC)
32307 @cindex .sdata/.sdata2 references (PowerPC)
32309 On embedded PowerPC systems, put global and static items less than or
32310 equal to @var{num} bytes into the small data or BSS sections instead of
32311 the normal data or BSS section. By default, @var{num} is 8. The
32312 @option{-G @var{num}} switch is also passed to the linker.
32313 All modules should be compiled with the same @option{-G @var{num}} value.
32316 @opindex mno-regnames
32318 @itemx -mno-regnames
32319 On System V.4 and embedded PowerPC systems do (do not) emit register
32320 names in the assembly language output using symbolic forms.
32323 @opindex mno-longcall
32325 @itemx -mno-longcall
32326 By default assume that all calls are far away so that a longer and more
32327 expensive calling sequence is required. This is required for calls
32328 farther than 32 megabytes (33,554,432 bytes) from the current location.
32329 A short call is generated if the compiler knows
32330 the call cannot be that far away. This setting can be overridden by
32331 the @code{shortcall} function attribute, or by @code{#pragma
32334 Some linkers are capable of detecting out-of-range calls and generating
32335 glue code on the fly. On these systems, long calls are unnecessary and
32336 generate slower code. As of this writing, the AIX linker can do this,
32337 as can the GNU linker for PowerPC/64. It is planned to add this feature
32338 to the GNU linker for 32-bit PowerPC systems as well.
32340 On PowerPC64 ELFv2 and 32-bit PowerPC systems with newer GNU linkers,
32341 GCC can generate long calls using an inline PLT call sequence (see
32342 @option{-mpltseq}). PowerPC with @option{-mbss-plt} and PowerPC64
32343 ELFv1 (big-endian) do not support inline PLT calls.
32345 On Darwin/PPC systems, @code{#pragma longcall} generates @code{jbsr
32346 callee, L42}, plus a @dfn{branch island} (glue code). The two target
32347 addresses represent the callee and the branch island. The
32348 Darwin/PPC linker prefers the first address and generates a @code{bl
32349 callee} if the PPC @code{bl} instruction reaches the callee directly;
32350 otherwise, the linker generates @code{bl L42} to call the branch
32351 island. The branch island is appended to the body of the
32352 calling function; it computes the full 32-bit address of the callee
32355 On Mach-O (Darwin) systems, this option directs the compiler emit to
32356 the glue for every direct call, and the Darwin linker decides whether
32357 to use or discard it.
32359 In the future, GCC may ignore all longcall specifications
32360 when the linker is known to generate glue.
32363 @opindex mno-pltseq
32366 Implement (do not implement) -fno-plt and long calls using an inline
32367 PLT call sequence that supports lazy linking and long calls to
32368 functions in dlopen'd shared libraries. Inline PLT calls are only
32369 supported on PowerPC64 ELFv2 and 32-bit PowerPC systems with newer GNU
32370 linkers, and are enabled by default if the support is detected when
32371 configuring GCC, and, in the case of 32-bit PowerPC, if GCC is
32372 configured with @option{--enable-secureplt}. @option{-mpltseq} code
32373 and @option{-mbss-plt} 32-bit PowerPC relocatable objects may not be
32376 @opindex mtls-markers
32377 @opindex mno-tls-markers
32378 @item -mtls-markers
32379 @itemx -mno-tls-markers
32380 Mark (do not mark) calls to @code{__tls_get_addr} with a relocation
32381 specifying the function argument. The relocation allows the linker to
32382 reliably associate function call with argument setup instructions for
32383 TLS optimization, which in turn allows GCC to better schedule the
32389 This option enables use of the reciprocal estimate and
32390 reciprocal square root estimate instructions with additional
32391 Newton-Raphson steps to increase precision instead of doing a divide or
32392 square root and divide for floating-point arguments. You should use
32393 the @option{-ffast-math} option when using @option{-mrecip} (or at
32394 least @option{-funsafe-math-optimizations},
32395 @option{-ffinite-math-only}, @option{-freciprocal-math} and
32396 @option{-fno-trapping-math}). Note that while the throughput of the
32397 sequence is generally higher than the throughput of the non-reciprocal
32398 instruction, the precision of the sequence can be decreased by up to 2
32399 ulp (i.e.@: the inverse of 1.0 equals 0.99999994) for reciprocal square
32402 @opindex mrecip=opt
32403 @item -mrecip=@var{opt}
32404 This option controls which reciprocal estimate instructions
32405 may be used. @var{opt} is a comma-separated list of options, which may
32406 be preceded by a @code{!} to invert the option:
32411 Enable all estimate instructions.
32414 Enable the default instructions, equivalent to @option{-mrecip}.
32417 Disable all estimate instructions, equivalent to @option{-mno-recip}.
32420 Enable the reciprocal approximation instructions for both
32421 single and double precision.
32424 Enable the single-precision reciprocal approximation instructions.
32427 Enable the double-precision reciprocal approximation instructions.
32430 Enable the reciprocal square root approximation instructions for both
32431 single and double precision.
32434 Enable the single-precision reciprocal square root approximation instructions.
32437 Enable the double-precision reciprocal square root approximation instructions.
32441 So, for example, @option{-mrecip=all,!rsqrtd} enables
32442 all of the reciprocal estimate instructions, except for the
32443 @code{FRSQRTE}, @code{XSRSQRTEDP}, and @code{XVRSQRTEDP} instructions
32444 which handle the double-precision reciprocal square root calculations.
32446 @opindex mrecip-precision
32447 @item -mrecip-precision
32448 @itemx -mno-recip-precision
32449 Assume (do not assume) that the reciprocal estimate instructions
32450 provide higher-precision estimates than is mandated by the PowerPC
32451 ABI. Selecting @option{-mcpu=power6}, @option{-mcpu=power7} or
32452 @option{-mcpu=power8} automatically selects @option{-mrecip-precision}.
32453 The double-precision square root estimate instructions are not generated by
32454 default on low-precision machines, since they do not provide an
32455 estimate that converges after three steps.
32457 @opindex mveclibabi
32458 @item -mveclibabi=@var{type}
32459 Specifies the ABI type to use for vectorizing intrinsics using an
32460 external library. The only type supported at present is @samp{mass},
32461 which specifies to use IBM's Mathematical Acceleration Subsystem
32462 (MASS) libraries for vectorizing intrinsics using external libraries.
32463 GCC currently emits calls to @code{acosd2}, @code{acosf4},
32464 @code{acoshd2}, @code{acoshf4}, @code{asind2}, @code{asinf4},
32465 @code{asinhd2}, @code{asinhf4}, @code{atan2d2}, @code{atan2f4},
32466 @code{atand2}, @code{atanf4}, @code{atanhd2}, @code{atanhf4},
32467 @code{cbrtd2}, @code{cbrtf4}, @code{cosd2}, @code{cosf4},
32468 @code{coshd2}, @code{coshf4}, @code{erfcd2}, @code{erfcf4},
32469 @code{erfd2}, @code{erff4}, @code{exp2d2}, @code{exp2f4},
32470 @code{expd2}, @code{expf4}, @code{expm1d2}, @code{expm1f4},
32471 @code{hypotd2}, @code{hypotf4}, @code{lgammad2}, @code{lgammaf4},
32472 @code{log10d2}, @code{log10f4}, @code{log1pd2}, @code{log1pf4},
32473 @code{log2d2}, @code{log2f4}, @code{logd2}, @code{logf4},
32474 @code{powd2}, @code{powf4}, @code{sind2}, @code{sinf4}, @code{sinhd2},
32475 @code{sinhf4}, @code{sqrtd2}, @code{sqrtf4}, @code{tand2},
32476 @code{tanf4}, @code{tanhd2}, and @code{tanhf4} when generating code
32477 for power7. Both @option{-ftree-vectorize} and
32478 @option{-funsafe-math-optimizations} must also be enabled. The MASS
32479 libraries must be specified at link time.
32484 Generate (do not generate) the @code{friz} instruction when the
32485 @option{-funsafe-math-optimizations} option is used to optimize
32486 rounding of floating-point values to 64-bit integer and back to floating
32487 point. The @code{friz} instruction does not return the same value if
32488 the floating-point number is too large to fit in an integer.
32490 @opindex mpointers-to-nested-functions
32491 @item -mpointers-to-nested-functions
32492 @itemx -mno-pointers-to-nested-functions
32493 Generate (do not generate) code to load up the static chain register
32494 (@code{r11}) when calling through a pointer on AIX and 64-bit Linux
32495 systems where a function pointer points to a 3-word descriptor giving
32496 the function address, TOC value to be loaded in register @code{r2}, and
32497 static chain value to be loaded in register @code{r11}. The
32498 @option{-mpointers-to-nested-functions} is on by default. You cannot
32499 call through pointers to nested functions or pointers
32500 to functions compiled in other languages that use the static chain if
32501 you use @option{-mno-pointers-to-nested-functions}.
32503 @opindex msave-toc-indirect
32504 @item -msave-toc-indirect
32505 @itemx -mno-save-toc-indirect
32506 Generate (do not generate) code to save the TOC value in the reserved
32507 stack location in the function prologue if the function calls through
32508 a pointer on AIX and 64-bit Linux systems. If the TOC value is not
32509 saved in the prologue, it is saved just before the call through the
32510 pointer. The @option{-mno-save-toc-indirect} option is the default.
32512 @opindex mcompat-align-parm
32513 @item -mcompat-align-parm
32514 @itemx -mno-compat-align-parm
32515 Generate (do not generate) code to pass structure parameters with a
32516 maximum alignment of 64 bits, for compatibility with older versions
32519 Older versions of GCC (prior to 4.9.0) incorrectly did not align a
32520 structure parameter on a 128-bit boundary when that structure contained
32521 a member requiring 128-bit alignment. This is corrected in more
32522 recent versions of GCC. This option may be used to generate code
32523 that is compatible with functions compiled with older versions of
32526 The @option{-mno-compat-align-parm} option is the default.
32528 @opindex mstack-protector-guard
32529 @opindex mstack-protector-guard-reg
32530 @opindex mstack-protector-guard-offset
32531 @opindex mstack-protector-guard-symbol
32532 @item -mstack-protector-guard=@var{guard}
32533 @itemx -mstack-protector-guard-reg=@var{reg}
32534 @itemx -mstack-protector-guard-offset=@var{offset}
32535 @itemx -mstack-protector-guard-symbol=@var{symbol}
32536 Generate stack protection code using canary at @var{guard}. Supported
32537 locations are @samp{global} for global canary or @samp{tls} for per-thread
32538 canary in the TLS block (the default with GNU libc version 2.4 or later).
32540 With the latter choice the options
32541 @option{-mstack-protector-guard-reg=@var{reg}} and
32542 @option{-mstack-protector-guard-offset=@var{offset}} furthermore specify
32543 which register to use as base register for reading the canary, and from what
32544 offset from that base register. The default for those is as specified in the
32545 relevant ABI. @option{-mstack-protector-guard-symbol=@var{symbol}} overrides
32546 the offset with a symbol reference to a canary in the TLS block.
32552 Generate (do not generate) pc-relative addressing. The @option{-mpcrel}
32553 option requires that the medium code model (@option{-mcmodel=medium})
32554 and prefixed addressing (@option{-mprefixed}) options are enabled.
32557 @opindex mno-prefixed
32559 @itemx -mno-prefixed
32560 Generate (do not generate) addressing modes using prefixed load and
32561 store instructions. The @option{-mprefixed} option requires that
32562 the option @option{-mcpu=power10} (or later) is enabled.
32568 Generate (do not generate) the MMA instructions. The @option{-mma}
32569 option requires that the option @option{-mcpu=power10} (or later)
32572 @opindex mrop-protect
32573 @opindex mno-rop-protect
32574 @item -mrop-protect
32575 @itemx -mno-rop-protect
32576 Generate (do not generate) ROP protection instructions when the target
32577 processor supports them. Currently this option disables the shrink-wrap
32578 optimization (@option{-fshrink-wrap}).
32580 @opindex mprivileged
32581 @opindex mno-privileged
32583 @itemx -mno-privileged
32584 Generate (do not generate) code that will run in privileged state.
32586 @opindex block-ops-unaligned-vsx
32587 @opindex no-block-ops-unaligned-vsx
32588 @item -mblock-ops-unaligned-vsx
32589 @itemx -mno-block-ops-unaligned-vsx
32590 Generate (do not generate) unaligned vsx loads and stores for
32591 inline expansion of @code{memcpy} and @code{memmove}.
32593 @item --param rs6000-vect-unroll-limit=
32594 The vectorizer will check with target information to determine whether it
32595 would be beneficial to unroll the main vectorized loop and by how much. This
32596 parameter sets the upper bound of how much the vectorizer will unroll the main
32597 loop. The default value is four.
32602 @subsection RX Options
32605 These command-line options are defined for RX targets:
32608 @opindex m64bit-doubles
32609 @opindex m32bit-doubles
32610 @item -m64bit-doubles
32611 @itemx -m32bit-doubles
32612 Make the @code{double} data type be 64 bits (@option{-m64bit-doubles})
32613 or 32 bits (@option{-m32bit-doubles}) in size. The default is
32614 @option{-m32bit-doubles}. @emph{Note} RX floating-point hardware only
32615 works on 32-bit values, which is why the default is
32616 @option{-m32bit-doubles}.
32622 Enables (@option{-fpu}) or disables (@option{-nofpu}) the use of RX
32623 floating-point hardware. The default is enabled for the RX600
32624 series and disabled for the RX200 series.
32626 Floating-point instructions are only generated for 32-bit floating-point
32627 values, however, so the FPU hardware is not used for doubles if the
32628 @option{-m64bit-doubles} option is used.
32630 @emph{Note} If the @option{-fpu} option is enabled then
32631 @option{-funsafe-math-optimizations} is also enabled automatically.
32632 This is because the RX FPU instructions are themselves unsafe.
32635 @item -mcpu=@var{name}
32636 Selects the type of RX CPU to be targeted. Currently three types are
32637 supported, the generic @samp{RX600} and @samp{RX200} series hardware and
32638 the specific @samp{RX610} CPU. The default is @samp{RX600}.
32640 The only difference between @samp{RX600} and @samp{RX610} is that the
32641 @samp{RX610} does not support the @code{MVTIPL} instruction.
32643 The @samp{RX200} series does not have a hardware floating-point unit
32644 and so @option{-nofpu} is enabled by default when this type is
32647 @opindex mbig-endian-data
32648 @opindex mlittle-endian-data
32649 @item -mbig-endian-data
32650 @itemx -mlittle-endian-data
32651 Store data (but not code) in the big-endian format. The default is
32652 @option{-mlittle-endian-data}, i.e.@: to store data in the little-endian
32655 @opindex msmall-data-limit
32656 @item -msmall-data-limit=@var{N}
32657 Specifies the maximum size in bytes of global and static variables
32658 which can be placed into the small data area. Using the small data
32659 area can lead to smaller and faster code, but the size of area is
32660 limited and it is up to the programmer to ensure that the area does
32661 not overflow. Also when the small data area is used one of the RX's
32662 registers (usually @code{r13}) is reserved for use pointing to this
32663 area, so it is no longer available for use by the compiler. This
32664 could result in slower and/or larger code if variables are pushed onto
32665 the stack instead of being held in this register.
32667 Note, common variables (variables that have not been initialized) and
32668 constants are not placed into the small data area as they are assigned
32669 to other sections in the output executable.
32671 The default value is zero, which disables this feature. Note, this
32672 feature is not enabled by default with higher optimization levels
32673 (@option{-O2} etc) because of the potentially detrimental effects of
32674 reserving a register. It is up to the programmer to experiment and
32675 discover whether this feature is of benefit to their program. See the
32676 description of the @option{-mpid} option for a description of how the
32677 actual register to hold the small data area pointer is chosen.
32683 Use the simulator runtime. The default is to use the libgloss
32684 board-specific runtime.
32686 @opindex mas100-syntax
32687 @opindex mno-as100-syntax
32688 @item -mas100-syntax
32689 @itemx -mno-as100-syntax
32690 When generating assembler output use a syntax that is compatible with
32691 Renesas's AS100 assembler. This syntax can also be handled by the GAS
32692 assembler, but it has some restrictions so it is not generated by default.
32694 @opindex mmax-constant-size
32695 @item -mmax-constant-size=@var{N}
32696 Specifies the maximum size, in bytes, of a constant that can be used as
32697 an operand in a RX instruction. Although the RX instruction set does
32698 allow constants of up to 4 bytes in length to be used in instructions,
32699 a longer value equates to a longer instruction. Thus in some
32700 circumstances it can be beneficial to restrict the size of constants
32701 that are used in instructions. Constants that are too big are instead
32702 placed into a constant pool and referenced via register indirection.
32704 The value @var{N} can be between 0 and 4. A value of 0 (the default)
32705 or 4 means that constants of any size are allowed.
32709 Enable linker relaxation. Linker relaxation is a process whereby the
32710 linker attempts to reduce the size of a program by finding shorter
32711 versions of various instructions. Disabled by default.
32713 @opindex mint-register
32714 @item -mint-register=@var{N}
32715 Specify the number of registers to reserve for fast interrupt handler
32716 functions. The value @var{N} can be between 0 and 4. A value of 1
32717 means that register @code{r13} is reserved for the exclusive use
32718 of fast interrupt handlers. A value of 2 reserves @code{r13} and
32719 @code{r12}. A value of 3 reserves @code{r13}, @code{r12} and
32720 @code{r11}, and a value of 4 reserves @code{r13} through @code{r10}.
32721 A value of 0, the default, does not reserve any registers.
32723 @opindex msave-acc-in-interrupts
32724 @item -msave-acc-in-interrupts
32725 Specifies that interrupt handler functions should preserve the
32726 accumulator register. This is only necessary if normal code might use
32727 the accumulator register, for example because it performs 64-bit
32728 multiplications. The default is to ignore the accumulator as this
32729 makes the interrupt handlers faster.
32735 Enables the generation of position independent data. When enabled any
32736 access to constant data is done via an offset from a base address
32737 held in a register. This allows the location of constant data to be
32738 determined at run time without requiring the executable to be
32739 relocated, which is a benefit to embedded applications with tight
32740 memory constraints. Data that can be modified is not affected by this
32743 Note, using this feature reserves a register, usually @code{r13}, for
32744 the constant data base address. This can result in slower and/or
32745 larger code, especially in complicated functions.
32747 The actual register chosen to hold the constant data base address
32748 depends upon whether the @option{-msmall-data-limit} and/or the
32749 @option{-mint-register} command-line options are enabled. Starting
32750 with register @code{r13} and proceeding downwards, registers are
32751 allocated first to satisfy the requirements of @option{-mint-register},
32752 then @option{-mpid} and finally @option{-msmall-data-limit}. Thus it
32753 is possible for the small data area register to be @code{r8} if both
32754 @option{-mint-register=4} and @option{-mpid} are specified on the
32757 By default this feature is not enabled. The default can be restored
32758 via the @option{-mno-pid} command-line option.
32760 @opindex mno-warn-multiple-fast-interrupts
32761 @opindex mwarn-multiple-fast-interrupts
32762 @item -mno-warn-multiple-fast-interrupts
32763 @itemx -mwarn-multiple-fast-interrupts
32764 Prevents GCC from issuing a warning message if it finds more than one
32765 fast interrupt handler when it is compiling a file. The default is to
32766 issue a warning for each extra fast interrupt handler found, as the RX
32767 only supports one such interrupt.
32769 @opindex mallow-string-insns
32770 @opindex mno-allow-string-insns
32771 @item -mallow-string-insns
32772 @itemx -mno-allow-string-insns
32773 Enables or disables the use of the string manipulation instructions
32774 @code{SMOVF}, @code{SCMPU}, @code{SMOVB}, @code{SMOVU}, @code{SUNTIL}
32775 @code{SWHILE} and also the @code{RMPA} instruction. These
32776 instructions may prefetch data, which is not safe to do if accessing
32777 an I/O register. (See section 12.2.7 of the RX62N Group User's Manual
32778 for more information).
32780 The default is to allow these instructions, but it is not possible for
32781 GCC to reliably detect all circumstances where a string instruction
32782 might be used to access an I/O register, so their use cannot be
32783 disabled automatically. Instead it is reliant upon the programmer to
32784 use the @option{-mno-allow-string-insns} option if their program
32785 accesses I/O space.
32787 When the instructions are enabled GCC defines the C preprocessor
32788 symbol @code{__RX_ALLOW_STRING_INSNS__}, otherwise it defines the
32789 symbol @code{__RX_DISALLOW_STRING_INSNS__}.
32795 Use only (or not only) @code{JSR} instructions to access functions.
32796 This option can be used when code size exceeds the range of @code{BSR}
32797 instructions. Note that @option{-mno-jsr} does not mean to not use
32798 @code{JSR} but instead means that any type of branch may be used.
32801 @emph{Note:} The generic GCC command-line option @option{-ffixed-@var{reg}}
32802 has special significance to the RX port when used with the
32803 @code{interrupt} function attribute. This attribute indicates a
32804 function intended to process fast interrupts. GCC ensures
32805 that it only uses the registers @code{r10}, @code{r11}, @code{r12}
32806 and/or @code{r13} and only provided that the normal use of the
32807 corresponding registers have been restricted via the
32808 @option{-ffixed-@var{reg}} or @option{-mint-register} command-line
32811 @node S/390 and zSeries Options
32812 @subsection S/390 and zSeries Options
32813 @cindex S/390 and zSeries Options
32815 These are the @samp{-m} options defined for the S/390 and zSeries architecture.
32818 @opindex mhard-float
32819 @opindex msoft-float
32821 @itemx -msoft-float
32822 Use (do not use) the hardware floating-point instructions and registers
32823 for floating-point operations. When @option{-msoft-float} is specified,
32824 functions in @file{libgcc.a} are used to perform floating-point
32825 operations. When @option{-mhard-float} is specified, the compiler
32826 generates IEEE floating-point instructions. This is the default.
32829 @opindex mno-hard-dfp
32831 @itemx -mno-hard-dfp
32832 Use (do not use) the hardware decimal-floating-point instructions for
32833 decimal-floating-point operations. When @option{-mno-hard-dfp} is
32834 specified, functions in @file{libgcc.a} are used to perform
32835 decimal-floating-point operations. When @option{-mhard-dfp} is
32836 specified, the compiler generates decimal-floating-point hardware
32837 instructions. This is the default for @option{-march=z9-ec} or higher.
32839 @opindex mlong-double-64
32840 @opindex mlong-double-128
32841 @item -mlong-double-64
32842 @itemx -mlong-double-128
32843 These switches control the size of @code{long double} type. A size
32844 of 64 bits makes the @code{long double} type equivalent to the @code{double}
32845 type. This is the default.
32847 @opindex mbackchain
32848 @opindex mno-backchain
32850 @itemx -mno-backchain
32851 Store (do not store) the address of the caller's frame as backchain pointer
32852 into the callee's stack frame.
32853 A backchain may be needed to allow debugging using tools that do not understand
32854 DWARF call frame information.
32855 When @option{-mno-packed-stack} is in effect, the backchain pointer is stored
32856 at the bottom of the stack frame; when @option{-mpacked-stack} is in effect,
32857 the backchain is placed into the topmost word of the 96/160 byte register
32860 In general, code compiled with @option{-mbackchain} is call-compatible with
32861 code compiled with @option{-mno-backchain}; however, use of the backchain
32862 for debugging purposes usually requires that the whole binary is built with
32863 @option{-mbackchain}. Note that the combination of @option{-mbackchain},
32864 @option{-mpacked-stack} and @option{-mhard-float} is not supported. In order
32865 to build a linux kernel use @option{-msoft-float}.
32867 The default is to not maintain the backchain.
32869 @opindex mpacked-stack
32870 @opindex mno-packed-stack
32871 @item -mpacked-stack
32872 @itemx -mno-packed-stack
32873 Use (do not use) the packed stack layout. When @option{-mno-packed-stack} is
32874 specified, the compiler uses the all fields of the 96/160 byte register save
32875 area only for their default purpose; unused fields still take up stack space.
32876 When @option{-mpacked-stack} is specified, register save slots are densely
32877 packed at the top of the register save area; unused space is reused for other
32878 purposes, allowing for more efficient use of the available stack space.
32879 However, when @option{-mbackchain} is also in effect, the topmost word of
32880 the save area is always used to store the backchain, and the return address
32881 register is always saved two words below the backchain.
32883 As long as the stack frame backchain is not used, code generated with
32884 @option{-mpacked-stack} is call-compatible with code generated with
32885 @option{-mno-packed-stack}. Note that some non-FSF releases of GCC 2.95 for
32886 S/390 or zSeries generated code that uses the stack frame backchain at run
32887 time, not just for debugging purposes. Such code is not call-compatible
32888 with code compiled with @option{-mpacked-stack}. Also, note that the
32889 combination of @option{-mbackchain},
32890 @option{-mpacked-stack} and @option{-mhard-float} is not supported. In order
32891 to build a linux kernel use @option{-msoft-float}.
32893 The default is to not use the packed stack layout.
32895 @opindex msmall-exec
32896 @opindex mno-small-exec
32898 @itemx -mno-small-exec
32899 Generate (or do not generate) code using the @code{bras} instruction
32900 to do subroutine calls.
32901 This only works reliably if the total executable size does not
32902 exceed 64k. The default is to use the @code{basr} instruction instead,
32903 which does not have this limitation.
32909 When @option{-m31} is specified, generate code compliant to the
32910 GNU/Linux for S/390 ABI@. When @option{-m64} is specified, generate
32911 code compliant to the GNU/Linux for zSeries ABI@. This allows GCC in
32912 particular to generate 64-bit instructions. For the @samp{s390}
32913 targets, the default is @option{-m31}, while the @samp{s390x}
32914 targets default to @option{-m64}.
32920 When @option{-mzarch} is specified, generate code using the
32921 instructions available on z/Architecture.
32922 When @option{-mesa} is specified, generate code using the
32923 instructions available on ESA/390. Note that @option{-mesa} is
32924 not possible with @option{-m64}.
32925 When generating code compliant to the GNU/Linux for S/390 ABI,
32926 the default is @option{-mesa}. When generating code compliant
32927 to the GNU/Linux for zSeries ABI, the default is @option{-mzarch}.
32933 The @option{-mhtm} option enables a set of builtins making use of
32934 instructions available with the transactional execution facility
32935 introduced with the IBM zEnterprise EC12 machine generation
32936 @ref{S/390 System z Built-in Functions}.
32937 @option{-mhtm} is enabled by default when using @option{-march=zEC12}.
32943 When @option{-mvx} is specified, generate code using the instructions
32944 available with the vector extension facility introduced with the IBM
32945 z13 machine generation.
32946 This option changes the ABI for some vector type values with regard to
32947 alignment and calling conventions. In case vector type values are
32948 being used in an ABI-relevant context a GAS @samp{.gnu_attribute}
32949 command will be added to mark the resulting binary with the ABI used.
32950 @option{-mvx} is enabled by default when using @option{-march=z13}.
32953 @opindex mno-zvector
32955 @itemx -mno-zvector
32956 The @option{-mzvector} option enables vector language extensions and
32957 builtins using instructions available with the vector extension
32958 facility introduced with the IBM z13 machine generation.
32959 This option adds support for @samp{vector} to be used as a keyword to
32960 define vector type variables and arguments. @samp{vector} is only
32961 available when GNU extensions are enabled. It will not be expanded
32962 when requesting strict standard compliance e.g.@: with @option{-std=c99}.
32963 In addition to the GCC low-level builtins @option{-mzvector} enables
32964 a set of builtins added for compatibility with AltiVec-style
32965 implementations like Power and Cell. In order to make use of these
32966 builtins the header file @file{vecintrin.h} needs to be included.
32967 @option{-mzvector} is disabled by default.
32973 Generate (or do not generate) code using the @code{mvcle} instruction
32974 to perform block moves. When @option{-mno-mvcle} is specified,
32975 use a @code{mvc} loop instead. This is the default unless optimizing for
32982 Print (or do not print) additional debug information when compiling.
32983 The default is to not print debug information.
32986 @item -march=@var{cpu-type}
32987 Generate code that runs on @var{cpu-type}, which is the name of a
32988 system representing a certain processor type. Possible values for
32989 @var{cpu-type} are @samp{z900}/@samp{arch5}, @samp{z990}/@samp{arch6},
32990 @samp{z9-109}, @samp{z9-ec}/@samp{arch7}, @samp{z10}/@samp{arch8},
32991 @samp{z196}/@samp{arch9}, @samp{zEC12}, @samp{z13}/@samp{arch11},
32992 @samp{z14}/@samp{arch12}, @samp{z15}/@samp{arch13},
32993 @samp{z16}/@samp{arch14}, and @samp{native}.
32995 The default is @option{-march=z900}.
32997 Specifying @samp{native} as cpu type can be used to select the best
32998 architecture option for the host processor.
32999 @option{-march=native} has no effect if GCC does not recognize the
33003 @item -mtune=@var{cpu-type}
33004 Tune to @var{cpu-type} everything applicable about the generated code,
33005 except for the ABI and the set of available instructions.
33006 The list of @var{cpu-type} values is the same as for @option{-march}.
33007 The default is the value used for @option{-march}.
33009 @opindex mtpf-trace
33010 @opindex mno-tpf-trace
33012 @itemx -mno-tpf-trace
33013 Generate code that adds (does not add) in TPF OS specific branches to trace
33014 routines in the operating system. This option is off by default, even
33015 when compiling for the TPF OS@.
33017 @opindex mtpf-trace-skip
33018 @opindex mno-tpf-trace-skip
33019 @item -mtpf-trace-skip
33020 @itemx -mno-tpf-trace-skip
33021 Generate code that changes (does not change) the default branch
33022 targets enabled by @option{-mtpf-trace} to point to specialized trace
33023 routines providing the ability of selectively skipping function trace
33024 entries for the TPF OS. This option is off by default, even when
33025 compiling for the TPF OS and specifying @option{-mtpf-trace}.
33027 @opindex mfused-madd
33028 @opindex mno-fused-madd
33030 @itemx -mno-fused-madd
33031 Generate code that uses (does not use) the floating-point multiply and
33032 accumulate instructions. These instructions are generated by default if
33033 hardware floating point is used.
33035 @opindex mwarn-framesize
33036 @item -mwarn-framesize=@var{framesize}
33037 Emit a warning if the current function exceeds the given frame size. Because
33038 this is a compile-time check it doesn't need to be a real problem when the program
33039 runs. It is intended to identify functions that most probably cause
33040 a stack overflow. It is useful to be used in an environment with limited stack
33041 size e.g.@: the linux kernel.
33043 @opindex mwarn-dynamicstack
33044 @item -mwarn-dynamicstack
33045 Emit a warning if the function calls @code{alloca} or uses dynamically-sized
33046 arrays. This is generally a bad idea with a limited stack size.
33048 @opindex mstack-guard
33049 @opindex mstack-size
33050 @item -mstack-guard=@var{stack-guard}
33051 @itemx -mstack-size=@var{stack-size}
33052 If these options are provided the S/390 back end emits additional instructions in
33053 the function prologue that trigger a trap if the stack size is @var{stack-guard}
33054 bytes above the @var{stack-size} (remember that the stack on S/390 grows downward).
33055 If the @var{stack-guard} option is omitted the smallest power of 2 larger than
33056 the frame size of the compiled function is chosen.
33057 These options are intended to be used to help debugging stack overflow problems.
33058 The additionally emitted code causes only little overhead and hence can also be
33059 used in production-like systems without greater performance degradation. The given
33060 values have to be exact powers of 2 and @var{stack-size} has to be greater than
33061 @var{stack-guard} without exceeding 64k.
33062 In order to be efficient the extra code makes the assumption that the stack starts
33063 at an address aligned to the value given by @var{stack-size}.
33064 The @var{stack-guard} option can only be used in conjunction with @var{stack-size}.
33067 @item -mhotpatch=@var{pre-halfwords},@var{post-halfwords}
33068 If the hotpatch option is enabled, a ``hot-patching'' function
33069 prologue is generated for all functions in the compilation unit.
33070 The funtion label is prepended with the given number of two-byte
33071 NOP instructions (@var{pre-halfwords}, maximum 1000000). After
33072 the label, 2 * @var{post-halfwords} bytes are appended, using the
33073 largest NOP like instructions the architecture allows (maximum
33076 If both arguments are zero, hotpatching is disabled.
33078 This option can be overridden for individual functions with the
33079 @code{hotpatch} attribute.
33083 @subsection SH Options
33085 These @samp{-m} options are defined for the SH implementations:
33090 Generate code for the SH1.
33094 Generate code for the SH2.
33097 Generate code for the SH2e.
33101 Generate code for the SH2a without FPU, or for a SH2a-FPU in such a way
33102 that the floating-point unit is not used.
33104 @opindex m2a-single-only
33105 @item -m2a-single-only
33106 Generate code for the SH2a-FPU, in such a way that no double-precision
33107 floating-point operations are used.
33109 @opindex m2a-single
33111 Generate code for the SH2a-FPU assuming the floating-point unit is in
33112 single-precision mode by default.
33116 Generate code for the SH2a-FPU assuming the floating-point unit is in
33117 double-precision mode by default.
33121 Generate code for the SH3.
33125 Generate code for the SH3e.
33129 Generate code for the SH4 without a floating-point unit.
33131 @opindex m4-single-only
33132 @item -m4-single-only
33133 Generate code for the SH4 with a floating-point unit that only
33134 supports single-precision arithmetic.
33138 Generate code for the SH4 assuming the floating-point unit is in
33139 single-precision mode by default.
33143 Generate code for the SH4.
33147 Generate code for SH4-100.
33149 @opindex m4-100-nofpu
33150 @item -m4-100-nofpu
33151 Generate code for SH4-100 in such a way that the
33152 floating-point unit is not used.
33154 @opindex m4-100-single
33155 @item -m4-100-single
33156 Generate code for SH4-100 assuming the floating-point unit is in
33157 single-precision mode by default.
33159 @opindex m4-100-single-only
33160 @item -m4-100-single-only
33161 Generate code for SH4-100 in such a way that no double-precision
33162 floating-point operations are used.
33166 Generate code for SH4-200.
33168 @opindex m4-200-nofpu
33169 @item -m4-200-nofpu
33170 Generate code for SH4-200 without in such a way that the
33171 floating-point unit is not used.
33173 @opindex m4-200-single
33174 @item -m4-200-single
33175 Generate code for SH4-200 assuming the floating-point unit is in
33176 single-precision mode by default.
33178 @opindex m4-200-single-only
33179 @item -m4-200-single-only
33180 Generate code for SH4-200 in such a way that no double-precision
33181 floating-point operations are used.
33185 Generate code for SH4-300.
33187 @opindex m4-300-nofpu
33188 @item -m4-300-nofpu
33189 Generate code for SH4-300 without in such a way that the
33190 floating-point unit is not used.
33192 @opindex m4-300-single
33193 @item -m4-300-single
33194 Generate code for SH4-300 in such a way that no double-precision
33195 floating-point operations are used.
33197 @opindex m4-300-single-only
33198 @item -m4-300-single-only
33199 Generate code for SH4-300 in such a way that no double-precision
33200 floating-point operations are used.
33204 Generate code for SH4-340 (no MMU, no FPU).
33208 Generate code for SH4-500 (no FPU). Passes @option{-isa=sh4-nofpu} to the
33213 Generate code for the SH4al-dsp, or for a SH4a in such a way that the
33214 floating-point unit is not used.
33216 @opindex m4a-single-only
33217 @item -m4a-single-only
33218 Generate code for the SH4a, in such a way that no double-precision
33219 floating-point operations are used.
33221 @opindex m4a-single
33223 Generate code for the SH4a assuming the floating-point unit is in
33224 single-precision mode by default.
33228 Generate code for the SH4a.
33232 Same as @option{-m4a-nofpu}, except that it implicitly passes
33233 @option{-dsp} to the assembler. GCC doesn't generate any DSP
33234 instructions at the moment.
33238 Compile code for the processor in big-endian mode.
33242 Compile code for the processor in little-endian mode.
33246 Align doubles at 64-bit boundaries. Note that this changes the calling
33247 conventions, and thus some functions from the standard C library do
33248 not work unless you recompile it first with @option{-mdalign}.
33252 Shorten some address references at link time, when possible; uses the
33253 linker option @option{-relax}.
33257 Use 32-bit offsets in @code{switch} tables. The default is to use
33262 Enable the use of bit manipulation instructions on SH2A.
33266 Enable the use of the instruction @code{fmovd}. Check @option{-mdalign} for
33267 alignment constraints.
33271 Comply with the calling conventions defined by Renesas.
33273 @opindex mno-renesas
33275 Comply with the calling conventions defined for GCC before the Renesas
33276 conventions were available. This option is the default for all
33277 targets of the SH toolchain.
33279 @opindex mnomacsave
33281 Mark the @code{MAC} register as call-clobbered, even if
33282 @option{-mrenesas} is given.
33288 Control the IEEE compliance of floating-point comparisons, which affects the
33289 handling of cases where the result of a comparison is unordered. By default
33290 @option{-mieee} is implicitly enabled. If @option{-ffinite-math-only} is
33291 enabled @option{-mno-ieee} is implicitly set, which results in faster
33292 floating-point greater-equal and less-equal comparisons. The implicit settings
33293 can be overridden by specifying either @option{-mieee} or @option{-mno-ieee}.
33295 @opindex minline-ic_invalidate
33296 @item -minline-ic_invalidate
33297 Inline code to invalidate instruction cache entries after setting up
33298 nested function trampolines.
33299 This option has no effect if @option{-musermode} is in effect and the selected
33300 code generation option (e.g.@: @option{-m4}) does not allow the use of the @code{icbi}
33302 If the selected code generation option does not allow the use of the @code{icbi}
33303 instruction, and @option{-musermode} is not in effect, the inlined code
33304 manipulates the instruction cache address array directly with an associative
33305 write. This not only requires privileged mode at run time, but it also
33306 fails if the cache line had been mapped via the TLB and has become unmapped.
33310 Dump instruction size and location in the assembly code.
33312 @opindex mpadstruct
33314 This option is deprecated. It pads structures to multiple of 4 bytes,
33315 which is incompatible with the SH ABI@.
33317 @opindex matomic-model=@var{model}
33318 @item -matomic-model=@var{model}
33319 Sets the model of atomic operations and additional parameters as a comma
33320 separated list. For details on the atomic built-in functions see
33321 @ref{__atomic Builtins}. The following models and parameters are supported:
33326 Disable compiler generated atomic sequences and emit library calls for atomic
33327 operations. This is the default if the target is not @code{sh*-*-linux*}.
33330 Generate GNU/Linux compatible gUSA software atomic sequences for the atomic
33331 built-in functions. The generated atomic sequences require additional support
33332 from the interrupt/exception handling code of the system and are only suitable
33333 for SH3* and SH4* single-core systems. This option is enabled by default when
33334 the target is @code{sh*-*-linux*} and SH3* or SH4*. When the target is SH4A,
33335 this option also partially utilizes the hardware atomic instructions
33336 @code{movli.l} and @code{movco.l} to create more efficient code, unless
33337 @samp{strict} is specified.
33340 Generate software atomic sequences that use a variable in the thread control
33341 block. This is a variation of the gUSA sequences which can also be used on
33342 SH1* and SH2* targets. The generated atomic sequences require additional
33343 support from the interrupt/exception handling code of the system and are only
33344 suitable for single-core systems. When using this model, the @samp{gbr-offset=}
33345 parameter has to be specified as well.
33348 Generate software atomic sequences that temporarily disable interrupts by
33349 setting @code{SR.IMASK = 1111}. This model works only when the program runs
33350 in privileged mode and is only suitable for single-core systems. Additional
33351 support from the interrupt/exception handling code of the system is not
33352 required. This model is enabled by default when the target is
33353 @code{sh*-*-linux*} and SH1* or SH2*.
33356 Generate hardware atomic sequences using the @code{movli.l} and @code{movco.l}
33357 instructions only. This is only available on SH4A and is suitable for
33358 multi-core systems. Since the hardware instructions support only 32 bit atomic
33359 variables access to 8 or 16 bit variables is emulated with 32 bit accesses.
33360 Code compiled with this option is also compatible with other software
33361 atomic model interrupt/exception handling systems if executed on an SH4A
33362 system. Additional support from the interrupt/exception handling code of the
33363 system is not required for this model.
33366 This parameter specifies the offset in bytes of the variable in the thread
33367 control block structure that should be used by the generated atomic sequences
33368 when the @samp{soft-tcb} model has been selected. For other models this
33369 parameter is ignored. The specified value must be an integer multiple of four
33370 and in the range 0-1020.
33373 This parameter prevents mixed usage of multiple atomic models, even if they
33374 are compatible, and makes the compiler generate atomic sequences of the
33375 specified model only.
33381 Generate the @code{tas.b} opcode for @code{__atomic_test_and_set}.
33382 Notice that depending on the particular hardware and software configuration
33383 this can degrade overall performance due to the operand cache line flushes
33384 that are implied by the @code{tas.b} instruction. On multi-core SH4A
33385 processors the @code{tas.b} instruction must be used with caution since it
33386 can result in data corruption for certain cache configurations.
33388 @opindex mprefergot
33390 When generating position-independent code, emit function calls using
33391 the Global Offset Table instead of the Procedure Linkage Table.
33394 @opindex mno-usermode
33396 @itemx -mno-usermode
33397 Don't allow (allow) the compiler generating privileged mode code. Specifying
33398 @option{-musermode} also implies @option{-mno-inline-ic_invalidate} if the
33399 inlined code would not work in user mode. @option{-musermode} is the default
33400 when the target is @code{sh*-*-linux*}. If the target is SH1* or SH2*
33401 @option{-musermode} has no effect, since there is no user mode.
33403 @opindex multcost=@var{number}
33404 @item -multcost=@var{number}
33405 Set the cost to assume for a multiply insn.
33407 @opindex mdiv=@var{strategy}
33408 @item -mdiv=@var{strategy}
33409 Set the division strategy to be used for integer division operations.
33410 @var{strategy} can be one of:
33415 Calls a library function that uses the single-step division instruction
33416 @code{div1} to perform the operation. Division by zero calculates an
33417 unspecified result and does not trap. This is the default except for SH4,
33418 SH2A and SHcompact.
33421 Calls a library function that performs the operation in double precision
33422 floating point. Division by zero causes a floating-point exception. This is
33423 the default for SHcompact with FPU. Specifying this for targets that do not
33424 have a double precision FPU defaults to @code{call-div1}.
33427 Calls a library function that uses a lookup table for small divisors and
33428 the @code{div1} instruction with case distinction for larger divisors. Division
33429 by zero calculates an unspecified result and does not trap. This is the default
33430 for SH4. Specifying this for targets that do not have dynamic shift
33431 instructions defaults to @code{call-div1}.
33435 When a division strategy has not been specified the default strategy is
33436 selected based on the current target. For SH2A the default strategy is to
33437 use the @code{divs} and @code{divu} instructions instead of library function
33440 @opindex maccumulate-outgoing-args
33441 @item -maccumulate-outgoing-args
33442 Reserve space once for outgoing arguments in the function prologue rather
33443 than around each call. Generally beneficial for performance and size. Also
33444 needed for unwinding to avoid changing the stack frame around conditional code.
33446 @opindex mdivsi3_libfunc=@var{name}
33447 @item -mdivsi3_libfunc=@var{name}
33448 Set the name of the library function used for 32-bit signed division to
33450 This only affects the name used in the @samp{call} division strategies, and
33451 the compiler still expects the same sets of input/output/clobbered registers as
33452 if this option were not present.
33454 @opindex mfixed-range
33455 @item -mfixed-range=@var{register-range}
33456 Generate code treating the given register range as fixed registers.
33457 A fixed register is one that the register allocator cannot use. This is
33458 useful when compiling kernel code. A register range is specified as
33459 two registers separated by a dash. Multiple register ranges can be
33460 specified separated by a comma.
33462 @opindex mbranch-cost=@var{num}
33463 @item -mbranch-cost=@var{num}
33464 Assume @var{num} to be the cost for a branch instruction. Higher numbers
33465 make the compiler try to generate more branch-free code if possible.
33466 If not specified the value is selected depending on the processor type that
33467 is being compiled for.
33469 @opindex mzdcbranch
33470 @opindex mno-zdcbranch
33472 @itemx -mno-zdcbranch
33473 Assume (do not assume) that zero displacement conditional branch instructions
33474 @code{bt} and @code{bf} are fast. If @option{-mzdcbranch} is specified, the
33475 compiler prefers zero displacement branch code sequences. This is
33476 enabled by default when generating code for SH4 and SH4A. It can be explicitly
33477 disabled by specifying @option{-mno-zdcbranch}.
33479 @opindex mcbranch-force-delay-slot
33480 @item -mcbranch-force-delay-slot
33481 Force the usage of delay slots for conditional branches, which stuffs the delay
33482 slot with a @code{nop} if a suitable instruction cannot be found. By default
33483 this option is disabled. It can be enabled to work around hardware bugs as
33484 found in the original SH7055.
33486 @opindex mfused-madd
33487 @opindex mno-fused-madd
33489 @itemx -mno-fused-madd
33490 Generate code that uses (does not use) the floating-point multiply and
33491 accumulate instructions. These instructions are generated by default
33492 if hardware floating point is used. The machine-dependent
33493 @option{-mfused-madd} option is now mapped to the machine-independent
33494 @option{-ffp-contract=fast} option, and @option{-mno-fused-madd} is
33495 mapped to @option{-ffp-contract=off}.
33501 Allow or disallow the compiler to emit the @code{fsca} instruction for sine
33502 and cosine approximations. The option @option{-mfsca} must be used in
33503 combination with @option{-funsafe-math-optimizations}. It is enabled by default
33504 when generating code for SH4A. Using @option{-mno-fsca} disables sine and cosine
33505 approximations even if @option{-funsafe-math-optimizations} is in effect.
33511 Allow or disallow the compiler to emit the @code{fsrra} instruction for
33512 reciprocal square root approximations. The option @option{-mfsrra} must be used
33513 in combination with @option{-funsafe-math-optimizations} and
33514 @option{-ffinite-math-only}. It is enabled by default when generating code for
33515 SH4A. Using @option{-mno-fsrra} disables reciprocal square root approximations
33516 even if @option{-funsafe-math-optimizations} and @option{-ffinite-math-only} are
33519 @opindex mpretend-cmove
33520 @item -mpretend-cmove
33521 Prefer zero-displacement conditional branches for conditional move instruction
33522 patterns. This can result in faster code on the SH4 processor.
33526 Generate code using the FDPIC ABI.
33530 @node Solaris 2 Options
33531 @subsection Solaris 2 Options
33532 @cindex Solaris 2 options
33534 These @samp{-m} options are supported on Solaris 2:
33537 @opindex mclear-hwcap
33538 @item -mclear-hwcap
33539 @option{-mclear-hwcap} tells the compiler to remove the hardware
33540 capabilities generated by the Solaris assembler. This is only necessary
33541 when object files use ISA extensions not supported by the current
33542 machine, but check at runtime whether or not to use them.
33544 @opindex mimpure-text
33545 @item -mimpure-text
33546 @option{-mimpure-text}, used in addition to @option{-shared}, tells
33547 the compiler to not pass @option{-z text} to the linker when linking a
33548 shared object. Using this option, you can link position-dependent
33549 code into a shared object.
33551 @option{-mimpure-text} suppresses the ``relocations remain against
33552 allocatable but non-writable sections'' linker error message.
33553 However, the necessary relocations trigger copy-on-write, and the
33554 shared object is not actually shared across processes. Instead of
33555 using @option{-mimpure-text}, you should compile all source code with
33556 @option{-fpic} or @option{-fPIC}.
33560 These switches are supported in addition to the above on Solaris 2:
33565 This is a synonym for @option{-pthread}.
33568 @node SPARC Options
33569 @subsection SPARC Options
33570 @cindex SPARC options
33572 These @samp{-m} options are supported on the SPARC:
33575 @opindex mno-app-regs
33577 @item -mno-app-regs
33579 Specify @option{-mapp-regs} to generate output using the global registers
33580 2 through 4, which the SPARC SVR4 ABI reserves for applications. Like the
33581 global register 1, each global register 2 through 4 is then treated as an
33582 allocable register that is clobbered by function calls. This is the default.
33584 To be fully SVR4 ABI-compliant at the cost of some performance loss,
33585 specify @option{-mno-app-regs}. You should compile libraries and system
33586 software with this option.
33592 With @option{-mflat}, the compiler does not generate save/restore instructions
33593 and uses a ``flat'' or single register window model. This model is compatible
33594 with the regular register window model. The local registers and the input
33595 registers (0--5) are still treated as ``call-saved'' registers and are
33596 saved on the stack as needed.
33598 With @option{-mno-flat} (the default), the compiler generates save/restore
33599 instructions (except for leaf functions). This is the normal operating mode.
33602 @opindex mhard-float
33604 @itemx -mhard-float
33605 Generate output containing floating-point instructions. This is the
33609 @opindex msoft-float
33611 @itemx -msoft-float
33612 Generate output containing library calls for floating point.
33613 @strong{Warning:} the requisite libraries are not available for all SPARC
33614 targets. Normally the facilities of the machine's usual C compiler are
33615 used, but this cannot be done directly in cross-compilation. You must make
33616 your own arrangements to provide suitable library functions for
33617 cross-compilation. The embedded targets @samp{sparc-*-aout} and
33618 @samp{sparclite-*-*} do provide software floating-point support.
33620 @option{-msoft-float} changes the calling convention in the output file;
33621 therefore, it is only useful if you compile @emph{all} of a program with
33622 this option. In particular, you need to compile @file{libgcc.a}, the
33623 library that comes with GCC, with @option{-msoft-float} in order for
33626 @opindex mhard-quad-float
33627 @item -mhard-quad-float
33628 Generate output containing quad-word (long double) floating-point
33631 @opindex msoft-quad-float
33632 @item -msoft-quad-float
33633 Generate output containing library calls for quad-word (long double)
33634 floating-point instructions. The functions called are those specified
33635 in the SPARC ABI@. This is the default.
33637 As of this writing, there are no SPARC implementations that have hardware
33638 support for the quad-word floating-point instructions. They all invoke
33639 a trap handler for one of these instructions, and then the trap handler
33640 emulates the effect of the instruction. Because of the trap handler overhead,
33641 this is much slower than calling the ABI library routines. Thus the
33642 @option{-msoft-quad-float} option is the default.
33644 @opindex mno-unaligned-doubles
33645 @opindex munaligned-doubles
33646 @item -mno-unaligned-doubles
33647 @itemx -munaligned-doubles
33648 Assume that doubles have 8-byte alignment. This is the default.
33650 With @option{-munaligned-doubles}, GCC assumes that doubles have 8-byte
33651 alignment only if they are contained in another type, or if they have an
33652 absolute address. Otherwise, it assumes they have 4-byte alignment.
33653 Specifying this option avoids some rare compatibility problems with code
33654 generated by other compilers. It is not the default because it results
33655 in a performance loss, especially for floating-point code.
33657 @opindex muser-mode
33658 @opindex mno-user-mode
33660 @itemx -mno-user-mode
33661 Do not generate code that can only run in supervisor mode. This is relevant
33662 only for the @code{casa} instruction emitted for the LEON3 processor. This
33665 @opindex mfaster-structs
33666 @opindex mno-faster-structs
33667 @item -mfaster-structs
33668 @itemx -mno-faster-structs
33669 With @option{-mfaster-structs}, the compiler assumes that structures
33670 should have 8-byte alignment. This enables the use of pairs of
33671 @code{ldd} and @code{std} instructions for copies in structure
33672 assignment, in place of twice as many @code{ld} and @code{st} pairs.
33673 However, the use of this changed alignment directly violates the SPARC
33674 ABI@. Thus, it's intended only for use on targets where the developer
33675 acknowledges that their resulting code is not directly in line with
33676 the rules of the ABI@.
33678 @opindex mstd-struct-return
33679 @opindex mno-std-struct-return
33680 @item -mstd-struct-return
33681 @itemx -mno-std-struct-return
33682 With @option{-mstd-struct-return}, the compiler generates checking code
33683 in functions returning structures or unions to detect size mismatches
33684 between the two sides of function calls, as per the 32-bit ABI@.
33686 The default is @option{-mno-std-struct-return}. This option has no effect
33690 @item -mcpu=@var{cpu_type}
33691 Set the instruction set, register set, and instruction scheduling parameters
33692 for machine type @var{cpu_type}. Supported values for @var{cpu_type} are
33693 @samp{v7}, @samp{cypress}, @samp{v8}, @samp{supersparc}, @samp{hypersparc},
33694 @samp{leon}, @samp{leon3}, @samp{leon3v7}, @samp{leon5}, @samp{sparclite},
33695 @samp{f930}, @samp{f934}, @samp{sparclite86x}, @samp{sparclet}, @samp{tsc701},
33696 @samp{v9}, @samp{ultrasparc}, @samp{ultrasparc3}, @samp{niagara},
33697 @samp{niagara2}, @samp{niagara3}, @samp{niagara4}, @samp{niagara7} and
33700 Native Solaris and GNU/Linux toolchains also support the value @samp{native},
33701 which selects the best architecture option for the host processor.
33702 @option{-mcpu=native} has no effect if GCC does not recognize
33705 Default instruction scheduling parameters are used for values that select
33706 an architecture and not an implementation. These are @samp{v7}, @samp{v8},
33707 @samp{sparclite}, @samp{sparclet}, @samp{v9}.
33709 Here is a list of each supported architecture and their supported
33717 supersparc, hypersparc, leon, leon3, leon5
33720 f930, f934, sparclite86x
33726 ultrasparc, ultrasparc3, niagara, niagara2, niagara3, niagara4,
33730 By default (unless configured otherwise), GCC generates code for the V7
33731 variant of the SPARC architecture. With @option{-mcpu=cypress}, the compiler
33732 additionally optimizes it for the Cypress CY7C602 chip, as used in the
33733 SPARCStation/SPARCServer 3xx series. This is also appropriate for the older
33734 SPARCStation 1, 2, IPX etc.
33736 With @option{-mcpu=v8}, GCC generates code for the V8 variant of the SPARC
33737 architecture. The only difference from V7 code is that the compiler emits
33738 the integer multiply and integer divide instructions which exist in SPARC-V8
33739 but not in SPARC-V7. With @option{-mcpu=supersparc}, the compiler additionally
33740 optimizes it for the SuperSPARC chip, as used in the SPARCStation 10, 1000 and
33743 With @option{-mcpu=sparclite}, GCC generates code for the SPARClite variant of
33744 the SPARC architecture. This adds the integer multiply, integer divide step
33745 and scan (@code{ffs}) instructions which exist in SPARClite but not in SPARC-V7.
33746 With @option{-mcpu=f930}, the compiler additionally optimizes it for the
33747 Fujitsu MB86930 chip, which is the original SPARClite, with no FPU@. With
33748 @option{-mcpu=f934}, the compiler additionally optimizes it for the Fujitsu
33749 MB86934 chip, which is the more recent SPARClite with FPU@.
33751 With @option{-mcpu=sparclet}, GCC generates code for the SPARClet variant of
33752 the SPARC architecture. This adds the integer multiply, multiply/accumulate,
33753 integer divide step and scan (@code{ffs}) instructions which exist in SPARClet
33754 but not in SPARC-V7. With @option{-mcpu=tsc701}, the compiler additionally
33755 optimizes it for the TEMIC SPARClet chip.
33757 With @option{-mcpu=v9}, GCC generates code for the V9 variant of the SPARC
33758 architecture. This adds 64-bit integer and floating-point move instructions,
33759 3 additional floating-point condition code registers and conditional move
33760 instructions. With @option{-mcpu=ultrasparc}, the compiler additionally
33761 optimizes it for the Sun UltraSPARC I/II/IIi chips. With
33762 @option{-mcpu=ultrasparc3}, the compiler additionally optimizes it for the
33763 Sun UltraSPARC III/III+/IIIi/IIIi+/IV/IV+ chips. With
33764 @option{-mcpu=niagara}, the compiler additionally optimizes it for
33765 Sun UltraSPARC T1 chips. With @option{-mcpu=niagara2}, the compiler
33766 additionally optimizes it for Sun UltraSPARC T2 chips. With
33767 @option{-mcpu=niagara3}, the compiler additionally optimizes it for Sun
33768 UltraSPARC T3 chips. With @option{-mcpu=niagara4}, the compiler
33769 additionally optimizes it for Sun UltraSPARC T4 chips. With
33770 @option{-mcpu=niagara7}, the compiler additionally optimizes it for
33771 Oracle SPARC M7 chips. With @option{-mcpu=m8}, the compiler
33772 additionally optimizes it for Oracle M8 chips.
33775 @item -mtune=@var{cpu_type}
33776 Set the instruction scheduling parameters for machine type
33777 @var{cpu_type}, but do not set the instruction set or register set that the
33778 option @option{-mcpu=@var{cpu_type}} does.
33780 The same values for @option{-mcpu=@var{cpu_type}} can be used for
33781 @option{-mtune=@var{cpu_type}}, but the only useful values are those
33782 that select a particular CPU implementation. Those are
33783 @samp{cypress}, @samp{supersparc}, @samp{hypersparc}, @samp{leon},
33784 @samp{leon3}, @samp{leon3v7}, @samp{leon5}, @samp{f930}, @samp{f934},
33785 @samp{sparclite86x}, @samp{tsc701}, @samp{ultrasparc},
33786 @samp{ultrasparc3}, @samp{niagara}, @samp{niagara2}, @samp{niagara3},
33787 @samp{niagara4}, @samp{niagara7} and @samp{m8}. With native Solaris
33788 and GNU/Linux toolchains, @samp{native} can also be used.
33791 @opindex mno-v8plus
33794 With @option{-mv8plus}, GCC generates code for the SPARC-V8+ ABI@. The
33795 difference from the V8 ABI is that the global and out registers are
33796 considered 64 bits wide. This is enabled by default on Solaris in 32-bit
33797 mode for all SPARC-V9 processors.
33803 With @option{-mvis}, GCC generates code that takes advantage of the UltraSPARC
33804 Visual Instruction Set extensions. The default is @option{-mno-vis}.
33810 With @option{-mvis2}, GCC generates code that takes advantage of
33811 version 2.0 of the UltraSPARC Visual Instruction Set extensions. The
33812 default is @option{-mvis2} when targeting a cpu that supports such
33813 instructions, such as UltraSPARC-III and later. Setting @option{-mvis2}
33814 also sets @option{-mvis}.
33820 With @option{-mvis3}, GCC generates code that takes advantage of
33821 version 3.0 of the UltraSPARC Visual Instruction Set extensions. The
33822 default is @option{-mvis3} when targeting a cpu that supports such
33823 instructions, such as niagara-3 and later. Setting @option{-mvis3}
33824 also sets @option{-mvis2} and @option{-mvis}.
33830 With @option{-mvis4}, GCC generates code that takes advantage of
33831 version 4.0 of the UltraSPARC Visual Instruction Set extensions. The
33832 default is @option{-mvis4} when targeting a cpu that supports such
33833 instructions, such as niagara-7 and later. Setting @option{-mvis4}
33834 also sets @option{-mvis3}, @option{-mvis2} and @option{-mvis}.
33840 With @option{-mvis4b}, GCC generates code that takes advantage of
33841 version 4.0 of the UltraSPARC Visual Instruction Set extensions, plus
33842 the additional VIS instructions introduced in the Oracle SPARC
33843 Architecture 2017. The default is @option{-mvis4b} when targeting a
33844 cpu that supports such instructions, such as m8 and later. Setting
33845 @option{-mvis4b} also sets @option{-mvis4}, @option{-mvis3},
33846 @option{-mvis2} and @option{-mvis}.
33849 @opindex mno-cbcond
33852 With @option{-mcbcond}, GCC generates code that takes advantage of the UltraSPARC
33853 Compare-and-Branch-on-Condition instructions. The default is @option{-mcbcond}
33854 when targeting a CPU that supports such instructions, such as Niagara-4 and
33861 With @option{-mfmaf}, GCC generates code that takes advantage of the UltraSPARC
33862 Fused Multiply-Add Floating-point instructions. The default is @option{-mfmaf}
33863 when targeting a CPU that supports such instructions, such as Niagara-3 and
33867 @opindex mno-fsmuld
33870 With @option{-mfsmuld}, GCC generates code that takes advantage of the
33871 Floating-point Multiply Single to Double (FsMULd) instruction. The default is
33872 @option{-mfsmuld} when targeting a CPU supporting the architecture versions V8
33873 or V9 with FPU except @option{-mcpu=leon}.
33879 With @option{-mpopc}, GCC generates code that takes advantage of the UltraSPARC
33880 Population Count instruction. The default is @option{-mpopc}
33881 when targeting a CPU that supports such an instruction, such as Niagara-2 and
33888 With @option{-msubxc}, GCC generates code that takes advantage of the UltraSPARC
33889 Subtract-Extended-with-Carry instruction. The default is @option{-msubxc}
33890 when targeting a CPU that supports such an instruction, such as Niagara-7 and
33893 @opindex mfix-at697f
33895 Enable the documented workaround for the single erratum of the Atmel AT697F
33896 processor (which corresponds to erratum #13 of the AT697E processor).
33898 @opindex mfix-ut699
33900 Enable the documented workarounds for the floating-point errata and the data
33901 cache nullify errata of the UT699 processor.
33903 @opindex mfix-ut700
33905 Enable the documented workaround for the back-to-back store errata of
33906 the UT699E/UT700 processor.
33908 @opindex mfix-gr712rc
33909 @item -mfix-gr712rc
33910 Enable the documented workaround for the back-to-back store errata of
33911 the GR712RC processor.
33914 These @samp{-m} options are supported in addition to the above
33915 on SPARC-V9 processors in 64-bit environments:
33922 Generate code for a 32-bit or 64-bit environment.
33923 The 32-bit environment sets int, long and pointer to 32 bits.
33924 The 64-bit environment sets int to 32 bits and long and pointer
33928 @item -mcmodel=@var{which}
33929 Set the code model to one of
33933 The Medium/Low code model: 64-bit addresses, programs
33934 must be linked in the low 32 bits of memory. Programs can be statically
33935 or dynamically linked.
33938 The Medium/Middle code model: 64-bit addresses, programs
33939 must be linked in the low 44 bits of memory, the text and data segments must
33940 be less than 2GB in size and the data segment must be located within 2GB of
33944 The Medium/Anywhere code model: 64-bit addresses, programs
33945 may be linked anywhere in memory, the text and data segments must be less
33946 than 2GB in size and the data segment must be located within 2GB of the
33950 The Medium/Anywhere code model for embedded systems:
33951 64-bit addresses, the text and data segments must be less than 2GB in
33952 size, both starting anywhere in memory (determined at link time). The
33953 global register %g4 points to the base of the data segment. Programs
33954 are statically linked and PIC is not supported.
33957 @opindex mmemory-model
33958 @item -mmemory-model=@var{mem-model}
33959 Set the memory model in force on the processor to one of
33963 The default memory model for the processor and operating system.
33966 Relaxed Memory Order
33969 Partial Store Order
33975 Sequential Consistency
33978 These memory models are formally defined in Appendix D of the SPARC-V9
33979 architecture manual, as set in the processor's @code{PSTATE.MM} field.
33981 @opindex mstack-bias
33982 @opindex mno-stack-bias
33984 @itemx -mno-stack-bias
33985 With @option{-mstack-bias}, GCC assumes that the stack pointer, and
33986 frame pointer if present, are offset by @minus{}2047 which must be added back
33987 when making stack frame references. This is the default in 64-bit mode.
33988 Otherwise, assume no such offset is present.
33991 @node System V Options
33992 @subsection Options for System V
33994 These additional options are available on System V Release 4 for
33995 compatibility with other compilers on those systems:
34000 Create a shared object.
34001 It is recommended that @option{-symbolic} or @option{-shared} be used instead.
34005 Identify the versions of each tool used by the compiler, in a
34006 @code{.ident} assembler directive in the output.
34010 Refrain from adding @code{.ident} directives to the output file (this is
34014 @item -YP,@var{dirs}
34015 Search the directories @var{dirs}, and no others, for libraries
34016 specified with @option{-l}.
34019 @item -Ym,@var{dir}
34020 Look in the directory @var{dir} to find the M4 preprocessor.
34021 The assembler uses this option.
34022 @c This is supposed to go with a -Yd for predefined M4 macro files, but
34023 @c the generic assembler that comes with Solaris takes just -Ym.
34027 @subsection V850 Options
34028 @cindex V850 Options
34030 These @samp{-m} options are defined for V850 implementations:
34033 @opindex mlong-calls
34034 @opindex mno-long-calls
34036 @itemx -mno-long-calls
34037 Treat all calls as being far away (near). If calls are assumed to be
34038 far away, the compiler always loads the function's address into a
34039 register, and calls indirect through the pointer.
34045 Do not optimize (do optimize) basic blocks that use the same index
34046 pointer 4 or more times to copy pointer into the @code{ep} register, and
34047 use the shorter @code{sld} and @code{sst} instructions. The @option{-mep}
34048 option is on by default if you optimize.
34050 @opindex mno-prolog-function
34051 @opindex mprolog-function
34052 @item -mno-prolog-function
34053 @itemx -mprolog-function
34054 Do not use (do use) external functions to save and restore registers
34055 at the prologue and epilogue of a function. The external functions
34056 are slower, but use less code space if more than one function saves
34057 the same number of registers. The @option{-mprolog-function} option
34058 is on by default if you optimize.
34062 Try to make the code as small as possible. At present, this just turns
34063 on the @option{-mep} and @option{-mprolog-function} options.
34066 @item -mtda=@var{n}
34067 Put static or global variables whose size is @var{n} bytes or less into
34068 the tiny data area that register @code{ep} points to. The tiny data
34069 area can hold up to 256 bytes in total (128 bytes for byte references).
34072 @item -msda=@var{n}
34073 Put static or global variables whose size is @var{n} bytes or less into
34074 the small data area that register @code{gp} points to. The small data
34075 area can hold up to 64 kilobytes.
34078 @item -mzda=@var{n}
34079 Put static or global variables whose size is @var{n} bytes or less into
34080 the first 32 kilobytes of memory.
34084 Specify that the target processor is the V850.
34088 Specify that the target processor is the V850E3V5. The preprocessor
34089 constant @code{__v850e3v5__} is defined if this option is used.
34093 Specify that the target processor is the V850E3V5. This is an alias for
34094 the @option{-mv850e3v5} option.
34098 Specify that the target processor is the V850E2V3. The preprocessor
34099 constant @code{__v850e2v3__} is defined if this option is used.
34103 Specify that the target processor is the V850E2. The preprocessor
34104 constant @code{__v850e2__} is defined if this option is used.
34108 Specify that the target processor is the V850E1. The preprocessor
34109 constants @code{__v850e1__} and @code{__v850e__} are defined if
34110 this option is used.
34114 Specify that the target processor is the V850ES. This is an alias for
34115 the @option{-mv850e1} option.
34119 Specify that the target processor is the V850E@. The preprocessor
34120 constant @code{__v850e__} is defined if this option is used.
34122 If neither @option{-mv850} nor @option{-mv850e} nor @option{-mv850e1}
34123 nor @option{-mv850e2} nor @option{-mv850e2v3} nor @option{-mv850e3v5}
34124 are defined then a default target processor is chosen and the
34125 relevant @samp{__v850*__} preprocessor constant is defined.
34127 The preprocessor constants @code{__v850} and @code{__v851__} are always
34128 defined, regardless of which processor variant is the target.
34130 @opindex mdisable-callt
34131 @opindex mno-disable-callt
34132 @item -mdisable-callt
34133 @itemx -mno-disable-callt
34134 This option suppresses generation of the @code{CALLT} instruction for the
34135 v850e, v850e1, v850e2, v850e2v3 and v850e3v5 flavors of the v850
34138 This option is enabled by default when the RH850 ABI is
34139 in use (see @option{-mrh850-abi}), and disabled by default when the
34140 GCC ABI is in use. If @code{CALLT} instructions are being generated
34141 then the C preprocessor symbol @code{__V850_CALLT__} is defined.
34147 Pass on (or do not pass on) the @option{-mrelax} command-line option
34150 @opindex mlong-jumps
34151 @opindex mno-long-jumps
34153 @itemx -mno-long-jumps
34154 Disable (or re-enable) the generation of PC-relative jump instructions.
34156 @opindex msoft-float
34157 @opindex mhard-float
34159 @itemx -mhard-float
34160 Disable (or re-enable) the generation of hardware floating point
34161 instructions. This option is only significant when the target
34162 architecture is @samp{V850E2V3} or higher. If hardware floating point
34163 instructions are being generated then the C preprocessor symbol
34164 @code{__FPU_OK__} is defined, otherwise the symbol
34165 @code{__NO_FPU__} is defined.
34169 Enables the use of the e3v5 LOOP instruction. The use of this
34170 instruction is not enabled by default when the e3v5 architecture is
34171 selected because its use is still experimental.
34173 @opindex mrh850-abi
34177 Enables support for the RH850 version of the V850 ABI. This is the
34178 default. With this version of the ABI the following rules apply:
34182 Integer sized structures and unions are returned via a memory pointer
34183 rather than a register.
34186 Large structures and unions (more than 8 bytes in size) are passed by
34190 Functions are aligned to 16-bit boundaries.
34193 The @option{-m8byte-align} command-line option is supported.
34196 The @option{-mdisable-callt} command-line option is enabled by
34197 default. The @option{-mno-disable-callt} command-line option is not
34201 When this version of the ABI is enabled the C preprocessor symbol
34202 @code{__V850_RH850_ABI__} is defined.
34206 Enables support for the old GCC version of the V850 ABI. With this
34207 version of the ABI the following rules apply:
34211 Integer sized structures and unions are returned in register @code{r10}.
34214 Large structures and unions (more than 8 bytes in size) are passed by
34218 Functions are aligned to 32-bit boundaries, unless optimizing for
34222 The @option{-m8byte-align} command-line option is not supported.
34225 The @option{-mdisable-callt} command-line option is supported but not
34226 enabled by default.
34229 When this version of the ABI is enabled the C preprocessor symbol
34230 @code{__V850_GCC_ABI__} is defined.
34232 @opindex m8byte-align
34233 @opindex mno-8byte-align
34234 @item -m8byte-align
34235 @itemx -mno-8byte-align
34236 Enables support for @code{double} and @code{long long} types to be
34237 aligned on 8-byte boundaries. The default is to restrict the
34238 alignment of all objects to at most 4-bytes. When
34239 @option{-m8byte-align} is in effect the C preprocessor symbol
34240 @code{__V850_8BYTE_ALIGN__} is defined.
34242 @opindex mbig-switch
34244 Generate code suitable for big switch tables. Use this option only if
34245 the assembler/linker complain about out of range branches within a switch
34250 This option causes r2 and r5 to be used in the code generated by
34251 the compiler. This setting is the default.
34253 @opindex mno-app-regs
34254 @item -mno-app-regs
34255 This option causes r2 and r5 to be treated as fixed registers.
34260 @subsection VAX Options
34261 @cindex VAX options
34263 These @samp{-m} options are defined for the VAX:
34268 Do not output certain jump instructions (@code{aobleq} and so on)
34269 that the Unix assembler for the VAX cannot handle across long
34274 Do output those jump instructions, on the assumption that the
34275 GNU assembler is being used.
34281 Use the D_floating data format for double-precision floating-point numbers
34282 instead of G_floating.
34288 Use the G_floating data format for double-precision floating-point numbers
34289 instead of D_floating.
34295 Enable Local Register Allocation. This is still experimental for the VAX,
34296 so by default the compiler uses standard reload.
34299 @node Visium Options
34300 @subsection Visium Options
34301 @cindex Visium options
34307 A program which performs file I/O and is destined to run on an MCM target
34308 should be linked with this option. It causes the libraries libc.a and
34309 libdebug.a to be linked. The program should be run on the target under
34310 the control of the GDB remote debugging stub.
34314 A program which performs file I/O and is destined to run on the simulator
34315 should be linked with option. This causes libraries libc.a and libsim.a to
34319 @opindex mhard-float
34321 @itemx -mhard-float
34322 Generate code containing floating-point instructions. This is the
34326 @opindex msoft-float
34328 @itemx -msoft-float
34329 Generate code containing library calls for floating-point.
34331 @option{-msoft-float} changes the calling convention in the output file;
34332 therefore, it is only useful if you compile @emph{all} of a program with
34333 this option. In particular, you need to compile @file{libgcc.a}, the
34334 library that comes with GCC, with @option{-msoft-float} in order for
34338 @item -mcpu=@var{cpu_type}
34339 Set the instruction set, register set, and instruction scheduling parameters
34340 for machine type @var{cpu_type}. Supported values for @var{cpu_type} are
34341 @samp{mcm}, @samp{gr5} and @samp{gr6}.
34343 @samp{mcm} is a synonym of @samp{gr5} present for backward compatibility.
34345 By default (unless configured otherwise), GCC generates code for the GR5
34346 variant of the Visium architecture.
34348 With @option{-mcpu=gr6}, GCC generates code for the GR6 variant of the Visium
34349 architecture. The only difference from GR5 code is that the compiler will
34350 generate block move instructions.
34353 @item -mtune=@var{cpu_type}
34354 Set the instruction scheduling parameters for machine type @var{cpu_type},
34355 but do not set the instruction set or register set that the option
34356 @option{-mcpu=@var{cpu_type}} would.
34360 Generate code for the supervisor mode, where there are no restrictions on
34361 the access to general registers. This is the default.
34363 @opindex muser-mode
34365 Generate code for the user mode, where the access to some general registers
34366 is forbidden: on the GR5, registers r24 to r31 cannot be accessed in this
34367 mode; on the GR6, only registers r29 to r31 are affected.
34371 @subsection VMS Options
34373 These @samp{-m} options are defined for the VMS implementations:
34376 @opindex mvms-return-codes
34377 @item -mvms-return-codes
34378 Return VMS condition codes from @code{main}. The default is to return POSIX-style
34379 condition (e.g.@: error) codes.
34381 @opindex mdebug-main=@var{prefix}
34382 @item -mdebug-main=@var{prefix}
34383 Flag the first routine whose name starts with @var{prefix} as the main
34384 routine for the debugger.
34388 Default to 64-bit memory allocation routines.
34390 @opindex mpointer-size=@var{size}
34391 @item -mpointer-size=@var{size}
34392 Set the default size of pointers. Possible options for @var{size} are
34393 @samp{32} or @samp{short} for 32 bit pointers, @samp{64} or @samp{long}
34394 for 64 bit pointers, and @samp{no} for supporting only 32 bit pointers.
34395 The later option disables @code{pragma pointer_size}.
34398 @node VxWorks Options
34399 @subsection VxWorks Options
34400 @cindex VxWorks Options
34402 The options in this section are defined for all VxWorks targets.
34403 Options specific to the target hardware are listed with the other
34404 options for that target.
34409 GCC can generate code for both VxWorks kernels and real time processes
34410 (RTPs). This option switches from the former to the latter. It also
34411 defines the preprocessor macro @code{__RTP__}.
34415 Select SMP runtimes for linking. Not available on architectures other
34416 than PowerPC, nor on VxWorks version 7 or later, in which the selection
34417 is part of the VxWorks build configuration and the library paths are the
34418 same for either choice.
34420 @opindex non-static
34422 Link an RTP executable against shared libraries rather than static
34423 libraries. The options @option{-static} and @option{-shared} can
34424 also be used for RTPs (@pxref{Link Options}); @option{-static}
34431 These options are passed down to the linker. They are defined for
34432 compatibility with Diab.
34434 @opindex Xbind-lazy
34436 Enable lazy binding of function calls. This option is equivalent to
34437 @option{-Wl,-z,now} and is defined for compatibility with Diab.
34441 Disable lazy binding of function calls. This option is the default and
34442 is defined for compatibility with Diab.
34446 @subsection x86 Options
34447 @cindex x86 Options
34449 These @samp{-m} options are defined for the x86 family of computers.
34454 @item -march=@var{cpu-type}
34455 Generate instructions for the machine type @var{cpu-type}. In contrast to
34456 @option{-mtune=@var{cpu-type}}, which merely tunes the generated code
34457 for the specified @var{cpu-type}, @option{-march=@var{cpu-type}} allows GCC
34458 to generate code that may not run at all on processors other than the one
34459 indicated. Specifying @option{-march=@var{cpu-type}} implies
34460 @option{-mtune=@var{cpu-type}}, except where noted otherwise.
34462 The choices for @var{cpu-type} are:
34466 This selects the CPU to generate code for at compilation time by determining
34467 the processor type of the compiling machine. Using @option{-march=native}
34468 enables all instruction subsets supported by the local machine (hence
34469 the result might not run on different machines). Using @option{-mtune=native}
34470 produces code optimized for the local machine under the constraints
34471 of the selected instruction set.
34474 A generic CPU with 64-bit extensions.
34479 These choices for @var{cpu-type} select the corresponding
34480 micro-architecture level from the x86-64 psABI. On ABIs other than
34481 the x86-64 psABI they select the same CPU features as the x86-64 psABI
34482 documents for the particular micro-architecture level.
34484 Since these @var{cpu-type} values do not have a corresponding
34485 @option{-mtune} setting, using @option{-march} with these values enables
34486 generic tuning. Specific tuning can be enabled using the
34487 @option{-mtune=@var{other-cpu-type}} option with an appropriate
34488 @var{other-cpu-type} value.
34491 Original Intel i386 CPU@.
34494 Intel i486 CPU@. (No scheduling is implemented for this chip.)
34498 Intel Pentium CPU with no MMX support.
34501 Intel Lakemont MCU, based on Intel Pentium CPU.
34504 Intel Pentium MMX CPU, based on Pentium core with MMX instruction set support.
34507 Intel Pentium Pro CPU@.
34510 When used with @option{-march}, the Pentium Pro
34511 instruction set is used, so the code runs on all i686 family chips.
34512 When used with @option{-mtune}, it has the same meaning as @samp{generic}.
34515 Intel Pentium II CPU, based on Pentium Pro core with MMX and FXSR instruction
34520 Intel Pentium III CPU, based on Pentium Pro core with MMX, FXSR and SSE
34521 instruction set support.
34524 Intel Pentium M; low-power version of Intel Pentium III CPU
34525 with MMX, SSE, SSE2 and FXSR instruction set support. Used by Centrino
34530 Intel Pentium 4 CPU with MMX, SSE, SSE2 and FXSR instruction set support.
34533 Improved version of Intel Pentium 4 CPU with MMX, SSE, SSE2, SSE3 and FXSR
34534 instruction set support.
34537 Improved version of Intel Pentium 4 CPU with 64-bit extensions, MMX, SSE,
34538 SSE2, SSE3 and FXSR instruction set support.
34541 Intel Core 2 CPU with 64-bit extensions, MMX, SSE, SSE2, SSE3, SSSE3, CX16,
34542 SAHF and FXSR instruction set support.
34546 Intel Nehalem CPU with 64-bit extensions, MMX, SSE, SSE2, SSE3, SSSE3,
34547 SSE4.1, SSE4.2, POPCNT, CX16, SAHF and FXSR instruction set support.
34550 Intel Westmere CPU with 64-bit extensions, MMX, SSE, SSE2, SSE3, SSSE3,
34551 SSE4.1, SSE4.2, POPCNT, CX16, SAHF, FXSR and PCLMUL instruction set support.
34555 Intel Sandy Bridge CPU with 64-bit extensions, MMX, SSE, SSE2, SSE3, SSSE3,
34556 SSE4.1, SSE4.2, POPCNT, CX16, SAHF, FXSR, AVX, XSAVE and PCLMUL instruction set
34561 Intel Ivy Bridge CPU with 64-bit extensions, MMX, SSE, SSE2, SSE3, SSSE3,
34562 SSE4.1, SSE4.2, POPCNT, CX16, SAHF, FXSR, AVX, XSAVE, PCLMUL, FSGSBASE, RDRND
34563 and F16C instruction set support.
34567 Intel Haswell CPU with 64-bit extensions, MMX, SSE, SSE2, SSE3, SSSE3,
34568 SSE4.1, SSE4.2, POPCNT, CX16, SAHF, FXSR, AVX, XSAVE, PCLMUL, FSGSBASE, RDRND,
34569 F16C, AVX2, BMI, BMI2, LZCNT, FMA, MOVBE and HLE instruction set support.
34572 Intel Broadwell CPU with 64-bit extensions, MMX, SSE, SSE2, SSE3, SSSE3,
34573 SSE4.1, SSE4.2, POPCNT, CX16, SAHF, FXSR, AVX, XSAVE, PCLMUL, FSGSBASE, RDRND,
34574 F16C, AVX2, BMI, BMI2, LZCNT, FMA, MOVBE, HLE, RDSEED, ADCX and PREFETCHW
34575 instruction set support.
34578 Intel Skylake CPU with 64-bit extensions, MMX, SSE, SSE2, SSE3, SSSE3,
34579 SSE4.1, SSE4.2, POPCNT, CX16, SAHF, FXSR, AVX, XSAVE, PCLMUL, FSGSBASE, RDRND,
34580 F16C, AVX2, BMI, BMI2, LZCNT, FMA, MOVBE, HLE, RDSEED, ADCX, PREFETCHW, AES,
34581 CLFLUSHOPT, XSAVEC, XSAVES and SGX instruction set support.
34583 @item skylake-avx512
34584 Intel Skylake Server CPU with 64-bit extensions, MMX, SSE, SSE2, SSE3,
34585 SSSE3, SSE4.1, SSE4.2, POPCNT, CX16, SAHF, FXSR, AVX, XSAVE, PCLMUL, FSGSBASE,
34586 RDRND, F16C, AVX2, BMI, BMI2, LZCNT, FMA, MOVBE, HLE, RDSEED, ADCX, PREFETCHW,
34587 AES, CLFLUSHOPT, XSAVEC, XSAVES, SGX, AVX512F, CLWB, AVX512VL, AVX512BW,
34588 AVX512DQ and AVX512CD instruction set support.
34591 Intel Cascade Lake CPU with 64-bit extensions, MMX, SSE, SSE2, SSE3, SSSE3,
34592 SSE4.1, SSE4.2, POPCNT, CX16, SAHF, FXSR, AVX, XSAVE, PCLMUL, FSGSBASE, RDRND,
34593 F16C, AVX2, BMI, BMI2, LZCNT, FMA, MOVBE, HLE, RDSEED, ADCX, PREFETCHW, AES,
34594 CLFLUSHOPT, XSAVEC, XSAVES, SGX, AVX512F, CLWB, AVX512VL, AVX512BW, AVX512DQ,
34595 AVX512CD and AVX512VNNI instruction set support.
34598 Intel Cannon Lake Server CPU with 64-bit extensions, MMX, SSE, SSE2,
34599 SSE3, SSSE3, SSE4.1, SSE4.2, POPCNT, CX16, SAHF, FXSR, AVX, XSAVE, PCLMUL,
34600 FSGSBASE, RDRND, F16C, AVX2, BMI, BMI2, LZCNT, FMA, MOVBE, HLE, RDSEED, ADCX,
34601 PREFETCHW, AES, CLFLUSHOPT, XSAVEC, XSAVES, SGX, AVX512F, AVX512VL, AVX512BW,
34602 AVX512DQ, AVX512CD, PKU, AVX512VBMI, AVX512IFMA and SHA instruction set
34606 Intel Cooper Lake CPU with 64-bit extensions, MMX, SSE, SSE2, SSE3, SSSE3,
34607 SSE4.1, SSE4.2, POPCNT, CX16, SAHF, FXSR, AVX, XSAVE, PCLMUL, FSGSBASE, RDRND,
34608 F16C, AVX2, BMI, BMI2, LZCNT, FMA, MOVBE, HLE, RDSEED, ADCX, PREFETCHW, AES,
34609 CLFLUSHOPT, XSAVEC, XSAVES, SGX, AVX512F, CLWB, AVX512VL, AVX512BW, AVX512DQ,
34610 AVX512CD, AVX512VNNI and AVX512BF16 instruction set support.
34612 @item icelake-client
34613 Intel Ice Lake Client CPU with 64-bit extensions, MMX, SSE, SSE2, SSE3,
34614 SSSE3, SSE4.1, SSE4.2, POPCNT, CX16, SAHF, FXSR, AVX, XSAVE, PCLMUL, FSGSBASE,
34615 RDRND, F16C, AVX2, BMI, BMI2, LZCNT, FMA, MOVBE, HLE, RDSEED, ADCX, PREFETCHW,
34616 AES, CLFLUSHOPT, XSAVEC, XSAVES, SGX, AVX512F, AVX512VL, AVX512BW, AVX512DQ,
34617 AVX512CD, PKU, AVX512VBMI, AVX512IFMA, SHA, AVX512VNNI, GFNI, VAES, AVX512VBMI2
34618 , VPCLMULQDQ, AVX512BITALG, RDPID and AVX512VPOPCNTDQ instruction set support.
34620 @item icelake-server
34621 Intel Ice Lake Server CPU with 64-bit extensions, MMX, SSE, SSE2, SSE3,
34622 SSSE3, SSE4.1, SSE4.2, POPCNT, CX16, SAHF, FXSR, AVX, XSAVE, PCLMUL, FSGSBASE,
34623 RDRND, F16C, AVX2, BMI, BMI2, LZCNT, FMA, MOVBE, HLE, RDSEED, ADCX, PREFETCHW,
34624 AES, CLFLUSHOPT, XSAVEC, XSAVES, SGX, AVX512F, AVX512VL, AVX512BW, AVX512DQ,
34625 AVX512CD, PKU, AVX512VBMI, AVX512IFMA, SHA, AVX512VNNI, GFNI, VAES, AVX512VBMI2
34626 , VPCLMULQDQ, AVX512BITALG, RDPID, AVX512VPOPCNTDQ, PCONFIG, WBNOINVD and CLWB
34627 instruction set support.
34630 Intel Tiger Lake CPU with 64-bit extensions, MMX, SSE, SSE2, SSE3, SSSE3,
34631 SSE4.1, SSE4.2, POPCNT, CX16, SAHF, FXSR, AVX, XSAVE, PCLMUL, FSGSBASE, RDRND,
34632 F16C, AVX2, BMI, BMI2, LZCNT, FMA, MOVBE, HLE, RDSEED, ADCX, PREFETCHW, AES,
34633 CLFLUSHOPT, XSAVEC, XSAVES, SGX, AVX512F, AVX512VL, AVX512BW, AVX512DQ, AVX512CD
34634 PKU, AVX512VBMI, AVX512IFMA, SHA, AVX512VNNI, GFNI, VAES, AVX512VBMI2,
34635 VPCLMULQDQ, AVX512BITALG, RDPID, AVX512VPOPCNTDQ, MOVDIRI, MOVDIR64B, CLWB,
34636 AVX512VP2INTERSECT and KEYLOCKER instruction set support.
34639 Intel Rocket Lake CPU with 64-bit extensions, MMX, SSE, SSE2, SSE3, SSSE3,
34640 SSE4.1, SSE4.2, POPCNT, CX16, SAHF, FXSR, AVX, XSAVE, PCLMUL, FSGSBASE, RDRND,
34641 F16C, AVX2, BMI, BMI2, LZCNT, FMA, MOVBE, HLE, RDSEED, ADCX, PREFETCHW, AES,
34642 CLFLUSHOPT, XSAVEC, XSAVES, AVX512F, AVX512VL, AVX512BW, AVX512DQ, AVX512CD
34643 PKU, AVX512VBMI, AVX512IFMA, SHA, AVX512VNNI, GFNI, VAES, AVX512VBMI2,
34644 VPCLMULQDQ, AVX512BITALG, RDPID and AVX512VPOPCNTDQ instruction set support.
34650 Intel Alder Lake/Raptor Lake/Meteor Lake/Gracemont CPU with 64-bit extensions,
34651 MOVBE, MMX, SSE, SSE2, SSE3, SSSE3, SSE4.1, SSE4.2, POPCNT, AES, PREFETCHW,
34652 PCLMUL, RDRND, XSAVE, XSAVEC, XSAVES, XSAVEOPT, FSGSBASE, PTWRITE, RDPID, SGX,
34653 GFNI-SSE, CLWB, MOVDIRI, MOVDIR64B, CLDEMOTE, WAITPKG, ADCX, AVX, AVX2, BMI,
34654 BMI2, F16C, FMA, LZCNT, PCONFIG, PKU, VAES, VPCLMULQDQ, SERIALIZE, HRESET, KL,
34655 WIDEKL and AVX-VNNI instruction set support.
34658 Intel Arrow Lake CPU with 64-bit extensions, MOVBE, MMX, SSE, SSE2, SSE3,
34659 SSSE3, SSE4.1, SSE4.2, POPCNT, AES, PREFETCHW, PCLMUL, RDRND, XSAVE, XSAVEC,
34660 XSAVES, XSAVEOPT, FSGSBASE, PTWRITE, RDPID, SGX, GFNI-SSE, CLWB, MOVDIRI,
34661 MOVDIR64B, CLDEMOTE, WAITPKG, ADCX, AVX, AVX2, BMI, BMI2, F16C, FMA, LZCNT,
34662 PCONFIG, PKU, VAES, VPCLMULQDQ, SERIALIZE, HRESET, KL, WIDEKL, AVX-VNNI,
34663 UINTR, AVXIFMA, AVXVNNIINT8, AVXNECONVERT and CMPCCXADD instruction set
34668 Intel Arrow Lake S/Lunar Lake CPU with 64-bit extensions, MOVBE, MMX, SSE,
34669 SSE2, SSE3, SSSE3, SSE4.1, SSE4.2, POPCNT, AES, PREFETCHW, PCLMUL, RDRND,
34670 XSAVE, XSAVEC, XSAVES, XSAVEOPT, FSGSBASE, PTWRITE, RDPID, SGX, GFNI-SSE, CLWB,
34671 MOVDIRI, MOVDIR64B, CLDEMOTE, WAITPKG, ADCX, AVX, AVX2, BMI, BMI2, F16C, FMA,
34672 LZCNT, PCONFIG, PKU, VAES, VPCLMULQDQ, SERIALIZE, HRESET, KL, WIDEKL, AVX-VNNI,
34673 UINTR, AVXIFMA, AVXVNNIINT8, AVXNECONVERT, CMPCCXADD, AVXVNNIINT16, SHA512,
34674 SM3 and SM4 instruction set support.
34677 Intel Panther Lake CPU with 64-bit extensions, MOVBE, MMX, SSE, SSE2, SSE3,
34678 SSSE3, SSE4.1, SSE4.2, POPCNT, AES, PREFETCHW, PCLMUL, RDRND, XSAVE, XSAVEC,
34679 XSAVES, XSAVEOPT, FSGSBASE, PTWRITE, RDPID, SGX, GFNI-SSE, CLWB, MOVDIRI,
34680 MOVDIR64B, CLDEMOTE, WAITPKG, ADCX, AVX, AVX2, BMI, BMI2, F16C, FMA, LZCNT,
34681 PCONFIG, PKU, VAES, VPCLMULQDQ, SERIALIZE, HRESET, KL, WIDEKL, AVX-VNNI,
34682 UINTR, AVXIFMA, AVXVNNIINT8, AVXNECONVERT, CMPCCXADD, AVXVNNIINT16, SHA512,
34683 SM3, SM4 and PREFETCHI instruction set support.
34685 @item sapphirerapids
34686 @itemx emeraldrapids
34687 Intel Sapphire Rapids/Emerald Rapids CPU with 64-bit extensions, MMX, SSE,
34688 SSE2, SSE3, SSSE3, SSE4.1, SSE4.2, POPCNT, CX16, SAHF, FXSR, AVX, XSAVE, PCLMUL,
34689 FSGSBASE, RDRND, F16C, AVX2, BMI, BMI2, LZCNT, FMA, MOVBE, HLE, RDSEED, ADCX,
34690 PREFETCHW, AES, CLFLUSHOPT, XSAVEC, XSAVES, SGX, AVX512F, AVX512VL, AVX512BW,
34691 AVX512DQ, AVX512CD, PKU, AVX512VBMI, AVX512IFMA, SHA, AVX512VNNI, GFNI, VAES,
34692 AVX512VBMI2, VPCLMULQDQ, AVX512BITALG, RDPID, AVX512VPOPCNTDQ, PCONFIG,
34693 WBNOINVD, CLWB, MOVDIRI, MOVDIR64B, ENQCMD, CLDEMOTE, PTWRITE, WAITPKG,
34694 SERIALIZE, TSXLDTRK, UINTR, AMX-BF16, AMX-TILE, AMX-INT8, AVX-VNNI, AVX512-FP16
34695 and AVX512BF16 instruction set support.
34697 @item graniterapids
34698 Intel Granite Rapids CPU with 64-bit extensions, MMX, SSE, SSE2, SSE3,
34699 SSSE3, SSE4.1, SSE4.2, POPCNT, CX16, SAHF, FXSR, AVX, XSAVE, PCLMUL, FSGSBASE,
34700 RDRND, F16C, AVX2, BMI, BMI2, LZCNT, FMA, MOVBE, HLE, RDSEED, ADCX, PREFETCHW,
34701 AES, CLFLUSHOPT, XSAVEC, XSAVES, SGX, AVX512F, AVX512VL, AVX512BW, AVX512DQ,
34702 AVX512CD, PKU, AVX512VBMI, AVX512IFMA, SHA, AVX512VNNI, GFNI, VAES, AVX512VBMI2,
34703 VPCLMULQDQ, AVX512BITALG, RDPID, AVX512VPOPCNTDQ, PCONFIG, WBNOINVD, CLWB,
34704 MOVDIRI, MOVDIR64B, ENQCMD, CLDEMOTE, PTWRITE, WAITPKG, SERIALIZE, TSXLDTRK,
34705 UINTR, AMX-BF16, AMX-TILE, AMX-INT8, AVX-VNNI, AVX512-FP16, AVX512BF16, AMX-FP16
34706 and PREFETCHI instruction set support.
34708 @item graniterapids-d
34709 Intel Granite Rapids D CPU with 64-bit extensions, MMX, SSE, SSE2, SSE3,
34710 SSSE3, SSE4.1, SSE4.2, POPCNT, CX16, SAHF, FXSR, AVX, XSAVE, PCLMUL, FSGSBASE,
34711 RDRND, F16C, AVX2, BMI, BMI2, LZCNT, FMA, MOVBE, HLE, RDSEED, ADCX, PREFETCHW,
34712 AES, CLFLUSHOPT, XSAVEC, XSAVES, SGX, AVX512F, AVX512VL, AVX512BW, AVX512DQ,
34713 AVX512CD, PKU, AVX512VBMI, AVX512IFMA, SHA, AVX512VNNI, GFNI, VAES, AVX512VBMI2,
34714 VPCLMULQDQ, AVX512BITALG, RDPID, AVX512VPOPCNTDQ, PCONFIG, WBNOINVD, CLWB,
34715 MOVDIRI, MOVDIR64B, ENQCMD, CLDEMOTE, PTWRITE, WAITPKG, SERIALIZE, TSXLDTRK,
34716 UINTR, AMX-BF16, AMX-TILE, AMX-INT8, AVX-VNNI, AVX512FP16, AVX512BF16, AMX-FP16,
34717 PREFETCHI and AMX-COMPLEX instruction set support.
34721 Intel Bonnell CPU with 64-bit extensions, MOVBE, MMX, SSE, SSE2, SSE3 and SSSE3
34722 instruction set support.
34726 Intel Silvermont CPU with 64-bit extensions, MOVBE, MMX, SSE, SSE2, SSE3, SSSE3,
34727 SSE4.1, SSE4.2, POPCNT, CX16, SAHF, FXSR, PCLMUL, PREFETCHW and RDRND
34728 instruction set support.
34731 Intel Goldmont CPU with 64-bit extensions, MOVBE, MMX, SSE, SSE2, SSE3, SSSE3,
34732 SSE4.1, SSE4.2, POPCNT, CX16, SAHF, FXSR, PCLMUL, PREFETCHW, RDRND, AES, SHA,
34733 RDSEED, XSAVE, XSAVEC, XSAVES, XSAVEOPT, CLFLUSHOPT and FSGSBASE instruction
34736 @item goldmont-plus
34737 Intel Goldmont Plus CPU with 64-bit extensions, MOVBE, MMX, SSE, SSE2, SSE3,
34738 SSSE3, SSE4.1, SSE4.2, POPCNT, CX16, SAHF, FXSR, PCLMUL, PREFETCHW, RDRND, AES,
34739 SHA, RDSEED, XSAVE, XSAVEC, XSAVES, XSAVEOPT, CLFLUSHOPT, FSGSBASE, PTWRITE,
34740 RDPID and SGX instruction set support.
34743 Intel Tremont CPU with 64-bit extensions, MOVBE, MMX, SSE, SSE2, SSE3, SSSE3,
34744 SSE4.1, SSE4.2, POPCNT, CX16, SAHF, FXSR, PCLMUL, PREFETCHW, RDRND, AES, SHA,
34745 RDSEED, XSAVE, XSAVEC, XSAVES, XSAVEOPT, CLFLUSHOPT, FSGSBASE, PTWRITE, RDPID,
34746 SGX, CLWB, GFNI-SSE, MOVDIRI, MOVDIR64B, CLDEMOTE and WAITPKG instruction set
34750 Intel Sierra Forest CPU with 64-bit extensions, MOVBE, MMX, SSE, SSE2, SSE3,
34751 SSSE3, SSE4.1, SSE4.2, POPCNT, AES, PREFETCHW, PCLMUL, RDRND, XSAVE, XSAVEC,
34752 XSAVES, XSAVEOPT, FSGSBASE, PTWRITE, RDPID, SGX, GFNI-SSE, CLWB, MOVDIRI,
34753 MOVDIR64B, CLDEMOTE, WAITPKG, ADCX, AVX, AVX2, BMI, BMI2, F16C, FMA, LZCNT,
34754 PCONFIG, PKU, VAES, VPCLMULQDQ, SERIALIZE, HRESET, KL, WIDEKL, AVX-VNNI,
34755 AVXIFMA, AVXVNNIINT8, AVXNECONVERT, CMPCCXADD, ENQCMD and UINTR instruction set
34759 Intel Grand Ridge CPU with 64-bit extensions, MOVBE, MMX, SSE, SSE2, SSE3,
34760 SSSE3, SSE4.1, SSE4.2, POPCNT, AES, PREFETCHW, PCLMUL, RDRND, XSAVE, XSAVEC,
34761 XSAVES, XSAVEOPT, FSGSBASE, PTWRITE, RDPID, SGX, GFNI-SSE, CLWB, MOVDIRI,
34762 MOVDIR64B, CLDEMOTE, WAITPKG, ADCX, AVX, AVX2, BMI, BMI2, F16C, FMA, LZCNT,
34763 PCONFIG, PKU, VAES, VPCLMULQDQ, SERIALIZE, HRESET, KL, WIDEKL, AVX-VNNI,
34764 AVXIFMA, AVXVNNIINT8, AVXNECONVERT, CMPCCXADD, ENQCMD and UINTR instruction set
34767 @item clearwaterforest
34768 Intel Clearwater Forest CPU with 64-bit extensions, MOVBE, MMX, SSE, SSE2,
34769 SSE3, SSSE3, SSE4.1, SSE4.2, POPCNT, AES, PREFETCHW, PCLMUL, RDRND, XSAVE,
34770 XSAVEC, XSAVES, XSAVEOPT, FSGSBASE, PTWRITE, RDPID, SGX, GFNI-SSE, CLWB,
34771 MOVDIRI, MOVDIR64B, CLDEMOTE, WAITPKG, ADCX, AVX, AVX2, BMI, BMI2, F16C, FMA,
34772 LZCNT, PCONFIG, PKU, VAES, VPCLMULQDQ, SERIALIZE, HRESET, KL, WIDEKL, AVX-VNNI,
34773 ENQCMD, UINTR, AVXIFMA, AVXVNNIINT8, AVXNECONVERT, CMPCCXADD, AVXVNNIINT16,
34774 SHA512, SM3, SM4, USER_MSR and PREFETCHI instruction set support.
34777 AMD K6 CPU with MMX instruction set support.
34781 Improved versions of AMD K6 CPU with MMX and 3DNow!@: instruction set support.
34784 @itemx athlon-tbird
34785 AMD Athlon CPU with MMX, 3dNOW!, enhanced 3DNow!@: and SSE prefetch instructions
34791 Improved AMD Athlon CPU with MMX, 3DNow!, enhanced 3DNow!@: and full SSE
34792 instruction set support.
34798 Processors based on the AMD K8 core with x86-64 instruction set support,
34799 including the AMD Opteron, Athlon 64, and Athlon 64 FX processors.
34800 (This supersets MMX, SSE, SSE2, 3DNow!, enhanced 3DNow!@: and 64-bit
34801 instruction set extensions.)
34804 @itemx opteron-sse3
34805 @itemx athlon64-sse3
34806 Improved versions of AMD K8 cores with SSE3 instruction set support.
34810 CPUs based on AMD Family 10h cores with x86-64 instruction set support. (This
34811 supersets MMX, SSE, SSE2, SSE3, SSE4A, 3DNow!, enhanced 3DNow!, ABM and 64-bit
34812 instruction set extensions.)
34815 CPUs based on AMD Family 15h cores with x86-64 instruction set support. (This
34816 supersets FMA4, AVX, XOP, LWP, AES, PCLMUL, CX16, MMX, SSE, SSE2, SSE3, SSE4A,
34817 SSSE3, SSE4.1, SSE4.2, ABM and 64-bit instruction set extensions.)
34820 AMD Family 15h core based CPUs with x86-64 instruction set support. (This
34821 supersets BMI, TBM, F16C, FMA, FMA4, AVX, XOP, LWP, AES, PCLMUL, CX16, MMX,
34822 SSE, SSE2, SSE3, SSE4A, SSSE3, SSE4.1, SSE4.2, ABM and 64-bit instruction set
34826 AMD Family 15h core based CPUs with x86-64 instruction set support. (This
34827 supersets BMI, TBM, F16C, FMA, FMA4, FSGSBASE, AVX, XOP, LWP, AES,
34828 PCLMUL, CX16, MMX, SSE, SSE2, SSE3, SSE4A, SSSE3, SSE4.1, SSE4.2, ABM and
34829 64-bit instruction set extensions.)
34832 AMD Family 15h core based CPUs with x86-64 instruction set support. (This
34833 supersets BMI, BMI2, TBM, F16C, FMA, FMA4, FSGSBASE, AVX, AVX2, XOP, LWP,
34834 AES, PCLMUL, CX16, MOVBE, MMX, SSE, SSE2, SSE3, SSE4A, SSSE3, SSE4.1,
34835 SSE4.2, ABM and 64-bit instruction set extensions.)
34838 AMD Family 17h core based CPUs with x86-64 instruction set support. (This
34839 supersets BMI, BMI2, F16C, FMA, FSGSBASE, AVX, AVX2, ADCX, RDSEED, MWAITX,
34840 SHA, CLZERO, AES, PCLMUL, CX16, MOVBE, MMX, SSE, SSE2, SSE3, SSE4A, SSSE3,
34841 SSE4.1, SSE4.2, ABM, XSAVEC, XSAVES, CLFLUSHOPT, POPCNT, and 64-bit
34842 instruction set extensions.)
34845 AMD Family 17h core based CPUs with x86-64 instruction set support. (This
34846 supersets BMI, BMI2, CLWB, F16C, FMA, FSGSBASE, AVX, AVX2, ADCX, RDSEED,
34847 MWAITX, SHA, CLZERO, AES, PCLMUL, CX16, MOVBE, MMX, SSE, SSE2, SSE3, SSE4A,
34848 SSSE3, SSE4.1, SSE4.2, ABM, XSAVEC, XSAVES, CLFLUSHOPT, POPCNT, RDPID,
34849 WBNOINVD, and 64-bit instruction set extensions.)
34852 AMD Family 19h core based CPUs with x86-64 instruction set support. (This
34853 supersets BMI, BMI2, CLWB, F16C, FMA, FSGSBASE, AVX, AVX2, ADCX, RDSEED,
34854 MWAITX, SHA, CLZERO, AES, PCLMUL, CX16, MOVBE, MMX, SSE, SSE2, SSE3, SSE4A,
34855 SSSE3, SSE4.1, SSE4.2, ABM, XSAVEC, XSAVES, CLFLUSHOPT, POPCNT, RDPID,
34856 WBNOINVD, PKU, VPCLMULQDQ, VAES, and 64-bit instruction set extensions.)
34859 AMD Family 19h core based CPUs with x86-64 instruction set support. (This
34860 supersets BMI, BMI2, CLWB, F16C, FMA, FSGSBASE, AVX, AVX2, ADCX, RDSEED,
34861 MWAITX, SHA, CLZERO, AES, PCLMUL, CX16, MOVBE, MMX, SSE, SSE2, SSE3, SSE4A,
34862 SSSE3, SSE4.1, SSE4.2, ABM, XSAVEC, XSAVES, CLFLUSHOPT, POPCNT, RDPID,
34863 WBNOINVD, PKU, VPCLMULQDQ, VAES, AVX512F, AVX512DQ, AVX512IFMA, AVX512CD,
34864 AVX512BW, AVX512VL, AVX512BF16, AVX512VBMI, AVX512VBMI2, AVX512VNNI,
34865 AVX512BITALG, AVX512VPOPCNTDQ, GFNI and 64-bit instruction set extensions.)
34868 AMD Family 1ah core based CPUs with x86-64 instruction set support. (This
34869 supersets BMI, BMI2, CLWB, F16C, FMA, FSGSBASE, AVX, AVX2, ADCX, RDSEED,
34870 MWAITX, SHA, CLZERO, AES, PCLMUL, CX16, MOVBE, MMX, SSE, SSE2, SSE3, SSE4A,
34871 SSSE3, SSE4.1, SSE4.2, ABM, XSAVEC, XSAVES, CLFLUSHOPT, POPCNT, RDPID,
34872 WBNOINVD, PKU, VPCLMULQDQ, VAES, AVX512F, AVX512DQ, AVX512IFMA, AVX512CD,
34873 AVX512BW, AVX512VL, AVX512BF16, AVX512VBMI, AVX512VBMI2, AVX512VNNI,
34874 AVX512BITALG, AVX512VPOPCNTDQ, GFNI, AVXVNNI, MOVDIRI, MOVDIR64B,
34875 AVX512VP2INTERSECT, PREFETCHI and 64-bit instruction set extensions.)
34878 CPUs based on AMD Family 14h cores with x86-64 instruction set support. (This
34879 supersets MMX, SSE, SSE2, SSE3, SSSE3, SSE4A, CX16, ABM and 64-bit
34880 instruction set extensions.)
34883 CPUs based on AMD Family 16h cores with x86-64 instruction set support. This
34884 includes MOVBE, F16C, BMI, AVX, PCLMUL, AES, SSE4.2, SSE4.1, CX16, ABM,
34885 SSE4A, SSSE3, SSE3, SSE2, SSE, MMX and 64-bit instruction set extensions.
34888 IDT WinChip C6 CPU, dealt in same way as i486 with additional MMX instruction
34892 IDT WinChip 2 CPU, dealt in same way as i486 with additional MMX and 3DNow!@:
34893 instruction set support.
34896 VIA C3 CPU with MMX and 3DNow!@: instruction set support.
34897 (No scheduling is implemented for this chip.)
34900 VIA C3-2 (Nehemiah/C5XL) CPU with MMX and SSE instruction set support.
34901 (No scheduling is implemented for this chip.)
34904 VIA C7 (Esther) CPU with MMX, SSE, SSE2 and SSE3 instruction set support.
34905 (No scheduling is implemented for this chip.)
34908 VIA Eden Samuel 2 CPU with MMX and 3DNow!@: instruction set support.
34909 (No scheduling is implemented for this chip.)
34912 VIA Eden Nehemiah CPU with MMX and SSE instruction set support.
34913 (No scheduling is implemented for this chip.)
34916 VIA Eden Esther CPU with MMX, SSE, SSE2 and SSE3 instruction set support.
34917 (No scheduling is implemented for this chip.)
34920 VIA Eden X2 CPU with x86-64, MMX, SSE, SSE2 and SSE3 instruction set support.
34921 (No scheduling is implemented for this chip.)
34924 VIA Eden X4 CPU with x86-64, MMX, SSE, SSE2, SSE3, SSSE3, SSE4.1, SSE4.2,
34925 AVX and AVX2 instruction set support.
34926 (No scheduling is implemented for this chip.)
34929 Generic VIA Nano CPU with x86-64, MMX, SSE, SSE2, SSE3 and SSSE3
34930 instruction set support.
34931 (No scheduling is implemented for this chip.)
34934 VIA Nano 1xxx CPU with x86-64, MMX, SSE, SSE2, SSE3 and SSSE3
34935 instruction set support.
34936 (No scheduling is implemented for this chip.)
34939 VIA Nano 2xxx CPU with x86-64, MMX, SSE, SSE2, SSE3 and SSSE3
34940 instruction set support.
34941 (No scheduling is implemented for this chip.)
34944 VIA Nano 3xxx CPU with x86-64, MMX, SSE, SSE2, SSE3, SSSE3 and SSE4.1
34945 instruction set support.
34946 (No scheduling is implemented for this chip.)
34949 VIA Nano Dual Core CPU with x86-64, MMX, SSE, SSE2, SSE3, SSSE3 and SSE4.1
34950 instruction set support.
34951 (No scheduling is implemented for this chip.)
34954 VIA Nano Quad Core CPU with x86-64, MMX, SSE, SSE2, SSE3, SSSE3 and SSE4.1
34955 instruction set support.
34956 (No scheduling is implemented for this chip.)
34959 ZHAOXIN lujiazui CPU with x86-64, MOVBE, MMX, SSE, SSE2, SSE3, SSSE3, SSE4.1,
34960 SSE4.2, POPCNT, AES, PCLMUL, RDRND, XSAVE, XSAVEOPT, FSGSBASE, CX16,
34961 ABM, BMI, BMI2, FXSR, RDSEED instruction set support. While the CPUs
34962 do support AVX and F16C, these aren't enabled by @code{-march=lujiazui}
34963 for performance reasons.
34966 ZHAOXIN yongfeng CPU with x86-64, MOVBE, MMX, SSE, SSE2, SSE3, SSSE3, SSE4.1,
34967 SSE4.2, AVX, POPCNT, AES, PCLMUL, RDRND, XSAVE, XSAVEOPT, FSGSBASE, CX16,
34968 ABM, BMI, BMI2, F16C, FXSR, RDSEED, AVX2, FMA, SHA, LZCNT
34969 instruction set support.
34972 ZHAOXIN shijidadao CPU with x86-64, MOVBE, MMX, SSE, SSE2, SSE3, SSSE3, SSE4.1,
34973 SSE4.2, AVX, POPCNT, AES, PCLMUL, RDRND, XSAVE, XSAVEOPT, FSGSBASE, CX16,
34974 ABM, BMI, BMI2, F16C, FXSR, RDSEED, AVX2, FMA, SHA, LZCNT
34975 instruction set support.
34978 AMD Geode embedded processor with MMX and 3DNow!@: instruction set support.
34982 @item -mtune=@var{cpu-type}
34983 Tune to @var{cpu-type} everything applicable about the generated code, except
34984 for the ABI and the set of available instructions.
34985 While picking a specific @var{cpu-type} schedules things appropriately
34986 for that particular chip, the compiler does not generate any code that
34987 cannot run on the default machine type unless you use a
34988 @option{-march=@var{cpu-type}} option.
34989 For example, if GCC is configured for i686-pc-linux-gnu
34990 then @option{-mtune=pentium4} generates code that is tuned for Pentium 4
34991 but still runs on i686 machines.
34993 The choices for @var{cpu-type} are the same as for @option{-march}.
34994 In addition, @option{-mtune} supports 2 extra choices for @var{cpu-type}:
34998 Produce code optimized for the most common IA32/@/AMD64/@/EM64T processors.
34999 If you know the CPU on which your code will run, then you should use
35000 the corresponding @option{-mtune} or @option{-march} option instead of
35001 @option{-mtune=generic}. But, if you do not know exactly what CPU users
35002 of your application will have, then you should use this option.
35004 As new processors are deployed in the marketplace, the behavior of this
35005 option will change. Therefore, if you upgrade to a newer version of
35006 GCC, code generation controlled by this option will change to reflect
35008 that are most common at the time that version of GCC is released.
35010 There is no @option{-march=generic} option because @option{-march}
35011 indicates the instruction set the compiler can use, and there is no
35012 generic instruction set applicable to all processors. In contrast,
35013 @option{-mtune} indicates the processor (or, in this case, collection of
35014 processors) for which the code is optimized.
35017 Produce code optimized for the most current Intel processors, which are
35018 Haswell and Silvermont for this version of GCC. If you know the CPU
35019 on which your code will run, then you should use the corresponding
35020 @option{-mtune} or @option{-march} option instead of @option{-mtune=intel}.
35021 But, if you want your application performs better on both Haswell and
35022 Silvermont, then you should use this option.
35024 As new Intel processors are deployed in the marketplace, the behavior of
35025 this option will change. Therefore, if you upgrade to a newer version of
35026 GCC, code generation controlled by this option will change to reflect
35027 the most current Intel processors at the time that version of GCC is
35030 There is no @option{-march=intel} option because @option{-march} indicates
35031 the instruction set the compiler can use, and there is no common
35032 instruction set applicable to all processors. In contrast,
35033 @option{-mtune} indicates the processor (or, in this case, collection of
35034 processors) for which the code is optimized.
35038 @item -mcpu=@var{cpu-type}
35039 A deprecated synonym for @option{-mtune}.
35042 @item -mfpmath=@var{unit}
35043 Generate floating-point arithmetic for selected unit @var{unit}. The choices
35044 for @var{unit} are:
35048 Use the standard 387 floating-point coprocessor present on the majority of chips and
35049 emulated otherwise. Code compiled with this option runs almost everywhere.
35050 The temporary results are computed in 80-bit precision instead of the precision
35051 specified by the type, resulting in slightly different results compared to most
35052 of other chips. See @option{-ffloat-store} for more detailed description.
35054 This is the default choice for non-Darwin x86-32 targets.
35057 Use scalar floating-point instructions present in the SSE instruction set.
35058 This instruction set is supported by Pentium III and newer chips,
35059 and in the AMD line
35060 by Athlon-4, Athlon XP and Athlon MP chips. The earlier version of the SSE
35061 instruction set supports only single-precision arithmetic, thus the double and
35062 extended-precision arithmetic are still done using 387. A later version, present
35063 only in Pentium 4 and AMD x86-64 chips, supports double-precision
35066 For the x86-32 compiler, you must use @option{-march=@var{cpu-type}}, @option{-msse}
35067 or @option{-msse2} switches to enable SSE extensions and make this option
35068 effective. For the x86-64 compiler, these extensions are enabled by default.
35070 The resulting code should be considerably faster in the majority of cases and avoid
35071 the numerical instability problems of 387 code, but may break some existing
35072 code that expects temporaries to be 80 bits.
35074 This is the default choice for the x86-64 compiler, Darwin x86-32 targets,
35075 and the default choice for x86-32 targets with the SSE2 instruction set
35076 when @option{-ffast-math} is enabled.
35081 Attempt to utilize both instruction sets at once. This effectively doubles the
35082 amount of available registers, and on chips with separate execution units for
35083 387 and SSE the execution resources too. Use this option with care, as it is
35084 still experimental, because the GCC register allocator does not model separate
35085 functional units well, resulting in unstable performance.
35088 @opindex masm=@var{dialect}
35089 @item -masm=@var{dialect}
35090 Output assembly instructions using selected @var{dialect}. Also affects
35091 which dialect is used for basic @code{asm} (@pxref{Basic Asm}) and
35092 extended @code{asm} (@pxref{Extended Asm}). Supported choices (in dialect
35093 order) are @samp{att} or @samp{intel}. The default is @samp{att}. Darwin does
35094 not support @samp{intel}.
35097 @opindex mno-ieee-fp
35099 @itemx -mno-ieee-fp
35100 Control whether or not the compiler uses IEEE floating-point
35101 comparisons. These correctly handle the case where the result of a
35102 comparison is unordered.
35105 @opindex mhard-float
35107 @itemx -mhard-float
35108 Generate output containing 80387 instructions for floating point.
35111 @opindex msoft-float
35113 @itemx -msoft-float
35114 Generate output containing library calls for floating point.
35116 @strong{Warning:} the requisite libraries are not part of GCC@.
35117 Normally the facilities of the machine's usual C compiler are used, but
35118 this cannot be done directly in cross-compilation. You must make your
35119 own arrangements to provide suitable library functions for
35122 On machines where a function returns floating-point results in the 80387
35123 register stack, some floating-point opcodes may be emitted even if
35124 @option{-msoft-float} is used.
35126 @opindex mno-fp-ret-in-387
35127 @opindex mfp-ret-in-387
35128 @item -mno-fp-ret-in-387
35129 Do not use the FPU registers for return values of functions.
35131 The usual calling convention has functions return values of types
35132 @code{float} and @code{double} in an FPU register, even if there
35133 is no FPU@. The idea is that the operating system should emulate
35136 The option @option{-mno-fp-ret-in-387} causes such values to be returned
35137 in ordinary CPU registers instead.
35139 @opindex mno-fancy-math-387
35140 @opindex mfancy-math-387
35141 @item -mno-fancy-math-387
35142 Some 387 emulators do not support the @code{sin}, @code{cos} and
35143 @code{sqrt} instructions for the 387. Specify this option to avoid
35144 generating those instructions.
35145 This option is overridden when @option{-march}
35146 indicates that the target CPU always has an FPU and so the
35147 instruction does not need emulation. These
35148 instructions are not generated unless you also use the
35149 @option{-funsafe-math-optimizations} switch.
35151 @opindex malign-double
35152 @opindex mno-align-double
35153 @item -malign-double
35154 @itemx -mno-align-double
35155 Control whether GCC aligns @code{double}, @code{long double}, and
35156 @code{long long} variables on a two-word boundary or a one-word
35157 boundary. Aligning @code{double} variables on a two-word boundary
35158 produces code that runs somewhat faster on a Pentium at the
35159 expense of more memory.
35161 On x86-64, @option{-malign-double} is enabled by default.
35163 @strong{Warning:} if you use the @option{-malign-double} switch,
35164 structures containing the above types are aligned differently than
35165 the published application binary interface specifications for the x86-32
35166 and are not binary compatible with structures in code compiled
35167 without that switch.
35169 @opindex m96bit-long-double
35170 @opindex m128bit-long-double
35171 @item -m96bit-long-double
35172 @itemx -m128bit-long-double
35173 These switches control the size of @code{long double} type. The x86-32
35174 application binary interface specifies the size to be 96 bits,
35175 so @option{-m96bit-long-double} is the default in 32-bit mode.
35177 Modern architectures (Pentium and newer) prefer @code{long double}
35178 to be aligned to an 8- or 16-byte boundary. In arrays or structures
35179 conforming to the ABI, this is not possible. So specifying
35180 @option{-m128bit-long-double} aligns @code{long double}
35181 to a 16-byte boundary by padding the @code{long double} with an additional
35184 In the x86-64 compiler, @option{-m128bit-long-double} is the default choice as
35185 its ABI specifies that @code{long double} is aligned on 16-byte boundary.
35187 Notice that neither of these options enable any extra precision over the x87
35188 standard of 80 bits for a @code{long double}.
35190 @strong{Warning:} if you override the default value for your target ABI, this
35191 changes the size of
35192 structures and arrays containing @code{long double} variables,
35193 as well as modifying the function calling convention for functions taking
35194 @code{long double}. Hence they are not binary-compatible
35195 with code compiled without that switch.
35197 @opindex mlong-double-64
35198 @opindex mlong-double-80
35199 @opindex mlong-double-128
35200 @item -mlong-double-64
35201 @itemx -mlong-double-80
35202 @itemx -mlong-double-128
35203 These switches control the size of @code{long double} type. A size
35204 of 64 bits makes the @code{long double} type equivalent to the @code{double}
35205 type. This is the default for 32-bit Bionic C library. A size
35206 of 128 bits makes the @code{long double} type equivalent to the
35207 @code{__float128} type. This is the default for 64-bit Bionic C library.
35209 @strong{Warning:} if you override the default value for your target ABI, this
35210 changes the size of
35211 structures and arrays containing @code{long double} variables,
35212 as well as modifying the function calling convention for functions taking
35213 @code{long double}. Hence they are not binary-compatible
35214 with code compiled without that switch.
35216 @opindex malign-data
35217 @item -malign-data=@var{type}
35218 Control how GCC aligns variables. Supported values for @var{type} are
35219 @samp{compat} uses increased alignment value compatible uses GCC 4.8
35220 and earlier, @samp{abi} uses alignment value as specified by the
35221 psABI, and @samp{cacheline} uses increased alignment value to match
35222 the cache line size. @samp{compat} is the default.
35224 @opindex mlarge-data-threshold
35225 @item -mlarge-data-threshold=@var{threshold}
35226 When @option{-mcmodel=medium} or @option{-mcmodel=large} is specified, data
35227 objects larger than @var{threshold} are placed in large data sections. The
35232 Use a different function-calling convention, in which functions that
35233 take a fixed number of arguments return with the @code{ret @var{num}}
35234 instruction, which pops their arguments while returning. This saves one
35235 instruction in the caller since there is no need to pop the arguments
35238 You can specify that an individual function is called with this calling
35239 sequence with the function attribute @code{stdcall}. You can also
35240 override the @option{-mrtd} option by using the function attribute
35241 @code{cdecl}. @xref{Function Attributes}.
35243 @strong{Warning:} this calling convention is incompatible with the one
35244 normally used on Unix, so you cannot use it if you need to call
35245 libraries compiled with the Unix compiler.
35247 Also, you must provide function prototypes for all functions that
35248 take variable numbers of arguments (including @code{printf});
35249 otherwise incorrect code is generated for calls to those
35252 In addition, seriously incorrect code results if you call a
35253 function with too many arguments. (Normally, extra arguments are
35254 harmlessly ignored.)
35257 @item -mregparm=@var{num}
35258 Control how many registers are used to pass integer arguments. By
35259 default, no registers are used to pass arguments, and at most 3
35260 registers can be used. You can control this behavior for a specific
35261 function by using the function attribute @code{regparm}.
35262 @xref{Function Attributes}.
35264 @strong{Warning:} if you use this switch, and
35265 @var{num} is nonzero, then you must build all modules with the same
35266 value, including any libraries. This includes the system libraries and
35269 @opindex msseregparm
35271 Use SSE register passing conventions for float and double arguments
35272 and return values. You can control this behavior for a specific
35273 function by using the function attribute @code{sseregparm}.
35274 @xref{Function Attributes}.
35276 @strong{Warning:} if you use this switch then you must build all
35277 modules with the same value, including any libraries. This includes
35278 the system libraries and startup modules.
35280 @opindex mvect8-ret-in-mem
35281 @item -mvect8-ret-in-mem
35282 Return 8-byte vectors in memory instead of MMX registers. This is the
35283 default on VxWorks to match the ABI of the Sun Studio compilers until
35284 version 12. @emph{Only} use this option if you need to remain
35285 compatible with existing code produced by those previous compiler
35286 versions or older versions of GCC@.
35295 Set 80387 floating-point precision to 32, 64 or 80 bits. When @option{-mpc32}
35296 is specified, the significands of results of floating-point operations are
35297 rounded to 24 bits (single precision); @option{-mpc64} rounds the
35298 significands of results of floating-point operations to 53 bits (double
35299 precision) and @option{-mpc80} rounds the significands of results of
35300 floating-point operations to 64 bits (extended double precision), which is
35301 the default. When this option is used, floating-point operations in higher
35302 precisions are not available to the programmer without setting the FPU
35303 control word explicitly.
35305 Setting the rounding of floating-point operations to less than the default
35306 80 bits can speed some programs by 2% or more. Note that some mathematical
35307 libraries assume that extended-precision (80-bit) floating-point operations
35308 are enabled by default; routines in such libraries could suffer significant
35309 loss of accuracy, typically through so-called ``catastrophic cancellation'',
35310 when this option is used to set the precision to less than extended precision.
35315 The flush-to-zero (FTZ) and denormals-are-zero (DAZ) flags in the MXCSR register
35316 are used to control floating-point calculations.SSE and AVX instructions
35317 including scalar and vector instructions could benefit from enabling the FTZ
35318 and DAZ flags when @option{-mdaz-ftz} is specified. Don't set FTZ/DAZ flags
35319 when @option{-mno-daz-ftz} or @option{-shared} is specified, @option{-mdaz-ftz}
35320 will set FTZ/DAZ flags even with @option{-shared}.
35322 @opindex mstackrealign
35323 @item -mstackrealign
35324 Realign the stack at entry. On the x86, the @option{-mstackrealign}
35325 option generates an alternate prologue and epilogue that realigns the
35326 run-time stack if necessary. This supports mixing legacy codes that keep
35327 4-byte stack alignment with modern codes that keep 16-byte stack alignment for
35328 SSE compatibility. See also the attribute @code{force_align_arg_pointer},
35329 applicable to individual functions.
35331 @opindex mpreferred-stack-boundary
35332 @item -mpreferred-stack-boundary=@var{num}
35333 Attempt to keep the stack boundary aligned to a 2 raised to @var{num}
35334 byte boundary. If @option{-mpreferred-stack-boundary} is not specified,
35335 the default is 4 (16 bytes or 128 bits).
35337 @strong{Warning:} When generating code for the x86-64 architecture with
35338 SSE extensions disabled, @option{-mpreferred-stack-boundary=3} can be
35339 used to keep the stack boundary aligned to 8 byte boundary. Since
35340 x86-64 ABI require 16 byte stack alignment, this is ABI incompatible and
35341 intended to be used in controlled environment where stack space is
35342 important limitation. This option leads to wrong code when functions
35343 compiled with 16 byte stack alignment (such as functions from a standard
35344 library) are called with misaligned stack. In this case, SSE
35345 instructions may lead to misaligned memory access traps. In addition,
35346 variable arguments are handled incorrectly for 16 byte aligned
35347 objects (including x87 long double and __int128), leading to wrong
35348 results. You must build all modules with
35349 @option{-mpreferred-stack-boundary=3}, including any libraries. This
35350 includes the system libraries and startup modules.
35352 @opindex mincoming-stack-boundary
35353 @item -mincoming-stack-boundary=@var{num}
35354 Assume the incoming stack is aligned to a 2 raised to @var{num} byte
35355 boundary. If @option{-mincoming-stack-boundary} is not specified,
35356 the one specified by @option{-mpreferred-stack-boundary} is used.
35358 On Pentium and Pentium Pro, @code{double} and @code{long double} values
35359 should be aligned to an 8-byte boundary (see @option{-malign-double}) or
35360 suffer significant run time performance penalties. On Pentium III, the
35361 Streaming SIMD Extension (SSE) data type @code{__m128} may not work
35362 properly if it is not 16-byte aligned.
35364 To ensure proper alignment of this values on the stack, the stack boundary
35365 must be as aligned as that required by any value stored on the stack.
35366 Further, every function must be generated such that it keeps the stack
35367 aligned. Thus calling a function compiled with a higher preferred
35368 stack boundary from a function compiled with a lower preferred stack
35369 boundary most likely misaligns the stack. It is recommended that
35370 libraries that use callbacks always use the default setting.
35372 This extra alignment does consume extra stack space, and generally
35373 increases code size. Code that is sensitive to stack space usage, such
35374 as embedded systems and operating system kernels, may want to reduce the
35375 preferred alignment to @option{-mpreferred-stack-boundary=2}.
35426 @opindex mavx512ifma
35427 @itemx -mavx512ifma
35429 @opindex mavx512vbmi
35430 @itemx -mavx512vbmi
35441 @opindex mclflushopt
35442 @itemx -mclflushopt
35546 @opindex mavx512vbmi2
35547 @itemx -mavx512vbmi2
35549 @opindex mavx512bf16
35550 @itemx -mavx512bf16
35552 @opindex mavx512fp16
35553 @itemx -mavx512fp16
35564 @opindex mvpclmulqdq
35565 @itemx -mvpclmulqdq
35567 @opindex mavx512bitalg
35568 @itemx -mavx512bitalg
35573 @opindex mmovdir64b
35584 @opindex mavx512vpopcntdq
35585 @itemx -mavx512vpopcntdq
35587 @opindex mavx512vp2intersect
35588 @itemx -mavx512vp2intersect
35590 @opindex mavx512vnni
35591 @itemx -mavx512vnni
35599 @opindex mserialize
35622 @opindex mavxvnniint8
35623 @itemx -mavxvnniint8
35625 @opindex mavxneconvert
35626 @itemx -mavxneconvert
35628 @opindex mcmpccxadd
35634 @opindex mprefetchi
35640 @opindex mamx-complex
35641 @itemx -mamx-complex
35643 @opindex mavxvnniint16
35644 @itemx -mavxvnniint16
35664 @opindex mavx10.1-256
35665 @itemx -mavx10.1-256
35667 @opindex mavx10.1-512
35668 @itemx -mavx10.1-512
35673 @opindex mavx10.2-256
35674 @itemx -mavx10.2-256
35676 @opindex mavx10.2-512
35677 @itemx -mavx10.2-512
35679 @opindex mamx-avx512
35680 @itemx -mamx-avx512
35685 @opindex mamx-transpose
35686 @itemx -mamx-transpose
35694 @opindex mamx-movrs
35696 These switches enable the use of instructions in the MMX, SSE,
35697 AVX512CD, AVX512VL, AVX512BW, AVX512DQ, AVX512IFMA, AVX512VBMI, SHA, AES,
35698 PCLMUL, CLFLUSHOPT, CLWB, FSGSBASE, PTWRITE, RDRND, F16C, FMA, PCONFIG,
35699 WBNOINVD, FMA4, PREFETCHW, RDPID, RDSEED, SGX, XOP, LWP, 3DNow!@:,
35700 enhanced 3DNow!@:, POPCNT, ABM, ADX, BMI, BMI2, LZCNT, FXSR, XSAVE, XSAVEOPT,
35701 XSAVEC, XSAVES, RTM, HLE, TBM, MWAITX, CLZERO, PKU, AVX512VBMI2, GFNI, VAES,
35702 WAITPKG, VPCLMULQDQ, AVX512BITALG, MOVDIRI, MOVDIR64B, AVX512BF16, ENQCMD,
35703 AVX512VPOPCNTDQ, AVX512VNNI, SERIALIZE, UINTR, HRESET, AMXTILE, AMXINT8,
35704 AMXBF16, KL, WIDEKL, AVXVNNI, AVX512-FP16, AVXIFMA, AVXVNNIINT8, AVXNECONVERT,
35705 CMPCCXADD, AMX-FP16, PREFETCHI, RAOINT, AMX-COMPLEX, AVXVNNIINT16, SM3, SHA512,
35706 SM4, APX_F, USER_MSR, AVX10.1, AVX10.2, AMX-AVX512, AMX-TF32, AMX-TRANSPOSE,
35707 AMX-FP8, MOVRS, AMX-MOVRS or CLDEMOTE extended instruction sets. Each has a
35708 corresponding @option{-mno-} option to disable use of these instructions.
35710 These extensions are also available as built-in functions: see
35711 @ref{x86 Built-in Functions}, for details of the functions enabled and
35712 disabled by these switches.
35714 To generate SSE/SSE2 instructions automatically from floating-point
35715 code (as opposed to 387 instructions), see @option{-mfpmath=sse}.
35717 GCC depresses SSEx instructions when @option{-mavx} is used. Instead, it
35718 generates new AVX instructions or AVX equivalence for all SSEx instructions
35721 These options enable GCC to use these extended instructions in
35722 generated code, even without @option{-mfpmath=sse}. Applications that
35723 perform run-time CPU detection must compile separate files for each
35724 supported architecture, using the appropriate flags. In particular,
35725 the file containing the CPU detection code should be compiled without
35728 @opindex mdump-tune-features
35729 @item -mdump-tune-features
35730 This option instructs GCC to dump the names of the x86 performance
35731 tuning features and default settings. The names can be used in
35732 @option{-mtune-ctrl=@var{feature-list}}.
35734 @opindex mtune-ctrl=@var{feature-list}
35735 @item -mtune-ctrl=@var{feature-list}
35736 This option is used to do fine grain control of x86 code generation features.
35737 @var{feature-list} is a comma separated list of @var{feature} names. See also
35738 @option{-mdump-tune-features}. When specified, the @var{feature} is turned
35739 on if it is not preceded with @samp{^}, otherwise, it is turned off.
35740 @option{-mtune-ctrl=@var{feature-list}} is intended to be used by GCC
35741 developers. Using it may lead to code paths not covered by testing and can
35742 potentially result in compiler ICEs or runtime errors.
35744 @opindex mno-default
35746 This option instructs GCC to turn off all tunable features. See also
35747 @option{-mtune-ctrl=@var{feature-list}} and @option{-mdump-tune-features}.
35751 This option instructs GCC to emit a @code{cld} instruction in the prologue
35752 of functions that use string instructions. String instructions depend on
35753 the DF flag to select between autoincrement or autodecrement mode. While the
35754 ABI specifies the DF flag to be cleared on function entry, some operating
35755 systems violate this specification by not clearing the DF flag in their
35756 exception dispatchers. The exception handler can be invoked with the DF flag
35757 set, which leads to wrong direction mode when string instructions are used.
35758 This option can be enabled by default on 32-bit x86 targets by configuring
35759 GCC with the @option{--enable-cld} configure option. Generation of @code{cld}
35760 instructions can be suppressed with the @option{-mno-cld} compiler option
35763 @opindex mvzeroupper
35765 This option instructs GCC to emit a @code{vzeroupper} instruction
35766 before a transfer of control flow out of the function to minimize
35767 the AVX to SSE transition penalty as well as remove unnecessary @code{zeroupper}
35770 @opindex mprefer-avx128
35771 @item -mprefer-avx128
35772 This option instructs GCC to use 128-bit AVX instructions instead of
35773 256-bit AVX instructions in the auto-vectorizer.
35775 @opindex mprefer-vector-width
35776 @item -mprefer-vector-width=@var{opt}
35777 This option instructs GCC to use @var{opt}-bit vector width in instructions
35778 instead of default on the selected platform.
35780 @opindex mpartial-vector-fp-math
35781 @item -mpartial-vector-fp-math
35782 This option enables GCC to generate floating-point operations that might
35783 affect the set of floating-point status flags on partial vectors, where
35784 vector elements reside in the low part of the 128-bit SSE register. Unless
35785 @option{-fno-trapping-math} is specified, the compiler guarantees correct
35786 behavior by sanitizing all input operands to have zeroes in the unused
35787 upper part of the vector register. Note that by using built-in functions
35788 or inline assembly with partial vector arguments, NaNs, denormal or invalid
35789 values can leak into the upper part of the vector, causing possible
35790 performance issues when @option{-fno-trapping-math} is in effect. These
35791 issues can be mitigated by manually sanitizing the upper part of the partial
35792 vector argument register or by using @option{-mdaz-ftz} to set
35793 denormals-are-zero (DAZ) flag in the MXCSR register.
35795 This option is enabled by default.
35798 @item -mmove-max=@var{bits}
35799 This option instructs GCC to set the maximum number of bits can be
35800 moved from memory to memory efficiently to @var{bits}. The valid
35801 @var{bits} are 128, 256 and 512.
35803 @opindex mstore-max
35804 @item -mstore-max=@var{bits}
35805 This option instructs GCC to set the maximum number of bits can be
35806 stored to memory efficiently to @var{bits}. The valid @var{bits} are
35811 No extra limitations applied to GCC other than defined by the selected platform.
35814 Prefer 128-bit vector width for instructions.
35817 Prefer 256-bit vector width for instructions.
35820 Prefer 512-bit vector width for instructions.
35823 @opindex mnoreturn-no-callee-saved-registers
35824 @item -mnoreturn-no-callee-saved-registers
35825 This option optimizes functions with @code{noreturn} attribute or
35826 @code{_Noreturn} specifier by not saving in the function prologue callee-saved
35827 registers which are used in the function (except for the @code{BP}
35828 register). This option can interfere with debugging of the caller of the
35829 @code{noreturn} function or any function further up in the call stack, so it
35830 is not enabled by default.
35834 This option enables GCC to generate @code{CMPXCHG16B} instructions in 64-bit
35835 code to implement compare-and-exchange operations on 16-byte aligned 128-bit
35836 objects. This is useful for atomic updates of data structures exceeding one
35837 machine word in size. The compiler uses this instruction to implement
35838 @ref{__sync Builtins}. However, for @ref{__atomic Builtins} operating on
35839 128-bit integers, a library call is always used.
35843 This option enables generation of @code{SAHF} instructions in 64-bit code.
35844 Early Intel Pentium 4 CPUs with Intel 64 support,
35845 prior to the introduction of Pentium 4 G1 step in December 2005,
35846 lacked the @code{LAHF} and @code{SAHF} instructions
35847 which are supported by AMD64.
35848 These are load and store instructions, respectively, for certain status flags.
35849 In 64-bit mode, the @code{SAHF} instruction is used to optimize @code{fmod},
35850 @code{drem}, and @code{remainder} built-in functions;
35851 see @ref{Other Builtins} for details.
35855 This option enables use of the @code{movbe} instruction to optimize
35856 byte swapping of four and eight byte entities.
35860 The @option{-mshstk} option enables shadow stack built-in functions
35861 from x86 Control-flow Enforcement Technology (CET).
35865 This option enables built-in functions @code{__builtin_ia32_crc32qi},
35866 @code{__builtin_ia32_crc32hi}, @code{__builtin_ia32_crc32si} and
35867 @code{__builtin_ia32_crc32di} to generate the @code{crc32} machine instruction.
35871 This option enables built-in functions @code{__builtin_ia32_monitor},
35872 and @code{__builtin_ia32_mwait} to generate the @code{monitor} and
35873 @code{mwait} machine instructions.
35877 This option enables use of @code{RCPSS} and @code{RSQRTSS} instructions
35878 (and their vectorized variants @code{RCPPS} and @code{RSQRTPS})
35879 with an additional Newton-Raphson step
35880 to increase precision instead of @code{DIVSS} and @code{SQRTSS}
35881 (and their vectorized
35882 variants) for single-precision floating-point arguments. These instructions
35883 are generated only when @option{-funsafe-math-optimizations} is enabled
35884 together with @option{-ffinite-math-only} and @option{-fno-trapping-math}.
35885 Note that while the throughput of the sequence is higher than the throughput
35886 of the non-reciprocal instruction, the precision of the sequence can be
35887 decreased by up to 2 ulp (i.e.@: the inverse of 1.0 equals 0.99999994).
35889 Note that GCC implements @code{1.0f/sqrtf(@var{x})} in terms of @code{RSQRTSS}
35890 (or @code{RSQRTPS}) already with @option{-ffast-math} (or the above option
35891 combination), and doesn't need @option{-mrecip}.
35893 Also note that GCC emits the above sequence with additional Newton-Raphson step
35894 for vectorized single-float division and vectorized @code{sqrtf(@var{x})}
35895 already with @option{-ffast-math} (or the above option combination), and
35896 doesn't need @option{-mrecip}.
35898 @opindex mrecip=opt
35899 @item -mrecip=@var{opt}
35900 This option controls which reciprocal estimate instructions
35901 may be used. @var{opt} is a comma-separated list of options, which may
35902 be preceded by a @samp{!} to invert the option:
35906 Enable all estimate instructions.
35909 Enable the default instructions, equivalent to @option{-mrecip}.
35912 Disable all estimate instructions, equivalent to @option{-mno-recip}.
35915 Enable the approximation for scalar division.
35918 Enable the approximation for vectorized division.
35921 Enable the approximation for scalar square root.
35924 Enable the approximation for vectorized square root.
35927 So, for example, @option{-mrecip=all,!sqrt} enables
35928 all of the reciprocal approximations, except for square root.
35930 @opindex mveclibabi
35931 @item -mveclibabi=@var{type}
35932 Specifies the ABI type to use for vectorizing intrinsics using an
35933 external library. Supported values for @var{type} are @samp{svml}
35934 for the Intel short
35935 vector math library and @samp{acml} for the AMD math core library.
35936 To use this option, both @option{-ftree-vectorize} and
35937 @option{-funsafe-math-optimizations} have to be enabled, and an SVML or ACML
35938 ABI-compatible library must be specified at link time.
35940 GCC currently emits calls to @code{vmldExp2},
35941 @code{vmldLn2}, @code{vmldLog102}, @code{vmldPow2},
35942 @code{vmldTanh2}, @code{vmldTan2}, @code{vmldAtan2}, @code{vmldAtanh2},
35943 @code{vmldCbrt2}, @code{vmldSinh2}, @code{vmldSin2}, @code{vmldAsinh2},
35944 @code{vmldAsin2}, @code{vmldCosh2}, @code{vmldCos2}, @code{vmldAcosh2},
35945 @code{vmldAcos2}, @code{vmlsExp4}, @code{vmlsLn4},
35946 @code{vmlsLog104}, @code{vmlsPow4}, @code{vmlsTanh4}, @code{vmlsTan4},
35947 @code{vmlsAtan4}, @code{vmlsAtanh4}, @code{vmlsCbrt4}, @code{vmlsSinh4},
35948 @code{vmlsSin4}, @code{vmlsAsinh4}, @code{vmlsAsin4}, @code{vmlsCosh4},
35949 @code{vmlsCos4}, @code{vmlsAcosh4} and @code{vmlsAcos4} for corresponding
35950 function type when @option{-mveclibabi=svml} is used, and @code{__vrd2_sin},
35951 @code{__vrd2_cos}, @code{__vrd2_exp}, @code{__vrd2_log}, @code{__vrd2_log2},
35952 @code{__vrd2_log10}, @code{__vrs4_sinf}, @code{__vrs4_cosf},
35953 @code{__vrs4_expf}, @code{__vrs4_logf}, @code{__vrs4_log2f},
35954 @code{__vrs4_log10f} and @code{__vrs4_powf} for the corresponding function type
35955 when @option{-mveclibabi=acml} is used.
35958 @item -mabi=@var{name}
35959 Generate code for the specified calling convention. Permissible values
35960 are @samp{sysv} for the ABI used on GNU/Linux and other systems, and
35961 @samp{ms} for the Microsoft ABI. The default is to use the Microsoft
35962 ABI when targeting Microsoft Windows and the SysV ABI on all other systems.
35963 You can control this behavior for specific functions by
35964 using the function attributes @code{ms_abi} and @code{sysv_abi}.
35965 @xref{Function Attributes}.
35967 @opindex mforce-indirect-call
35968 @item -mforce-indirect-call
35969 Force all calls to functions to be indirect. This is useful
35970 when using Intel Processor Trace where it generates more precise timing
35971 information for function calls.
35973 @opindex mmanual-endbr
35974 @item -mmanual-endbr
35975 Insert ENDBR instruction at function entry only via the @code{cf_check}
35976 function attribute. This is useful when used with the option
35977 @option{-fcf-protection=branch} to control ENDBR insertion at the
35980 @opindex mcet-switch
35982 By default, CET instrumentation is turned off on switch statements that
35983 use a jump table and indirect branch track is disabled. Since jump
35984 tables are stored in read-only memory, this does not result in a direct
35985 loss of hardening. But if the jump table index is attacker-controlled,
35986 the indirect jump may not be constrained by CET. This option turns on
35987 CET instrumentation to enable indirect branch track for switch statements
35988 with jump tables which leads to the jump targets reachable via any indirect
35991 @opindex mcall-ms2sysv-xlogues
35992 @opindex mno-call-ms2sysv-xlogues
35993 @item -mcall-ms2sysv-xlogues
35994 Due to differences in 64-bit ABIs, any Microsoft ABI function that calls a
35995 System V ABI function must consider RSI, RDI and XMM6-15 as clobbered. By
35996 default, the code for saving and restoring these registers is emitted inline,
35997 resulting in fairly lengthy prologues and epilogues. Using
35998 @option{-mcall-ms2sysv-xlogues} emits prologues and epilogues that
35999 use stubs in the static portion of libgcc to perform these saves and restores,
36000 thus reducing function size at the cost of a few extra instructions.
36002 @opindex mtls-dialect
36003 @item -mtls-dialect=@var{type}
36004 Generate code to access thread-local storage using the @samp{gnu} or
36005 @samp{gnu2} conventions. @samp{gnu} is the conservative default;
36006 @samp{gnu2} is more efficient, but it may add compile- and run-time
36007 requirements that cannot be satisfied on all systems.
36009 @opindex mpush-args
36010 @opindex mno-push-args
36012 @itemx -mno-push-args
36013 Use PUSH operations to store outgoing parameters. This method is shorter
36014 and usually equally fast as method using SUB/MOV operations and is enabled
36015 by default. In some cases disabling it may improve performance because of
36016 improved scheduling and reduced dependencies.
36018 @opindex maccumulate-outgoing-args
36019 @item -maccumulate-outgoing-args
36020 If enabled, the maximum amount of space required for outgoing arguments is
36021 computed in the function prologue. This is faster on most modern CPUs
36022 because of reduced dependencies, improved scheduling and reduced stack usage
36023 when the preferred stack boundary is not equal to 2. The drawback is a notable
36024 increase in code size. This switch implies @option{-mno-push-args}.
36028 Support thread-safe exception handling on MinGW. Programs that rely
36029 on thread-safe exception handling must compile and link all code with the
36030 @option{-mthreads} option. When compiling, @option{-mthreads} defines
36031 @option{-D_MT}; when linking, it links in a special thread helper library
36032 @option{-lmingwthrd} which cleans up per-thread exception-handling data.
36034 @opindex mms-bitfields
36035 @opindex mno-ms-bitfields
36036 @item -mms-bitfields
36037 @itemx -mno-ms-bitfields
36039 Enable/disable bit-field layout compatible with the native Microsoft
36042 If @code{packed} is used on a structure, or if bit-fields are used,
36043 it may be that the Microsoft ABI lays out the structure differently
36044 than the way GCC normally does. Particularly when moving packed
36045 data between functions compiled with GCC and the native Microsoft compiler
36046 (either via function call or as data in a file), it may be necessary to access
36049 This option is enabled by default for Microsoft Windows
36050 targets. This behavior can also be controlled locally by use of variable
36051 or type attributes. For more information, see @ref{x86 Variable Attributes}
36052 and @ref{x86 Type Attributes}.
36054 The Microsoft structure layout algorithm is fairly simple with the exception
36055 of the bit-field packing.
36056 The padding and alignment of members of structures and whether a bit-field
36057 can straddle a storage-unit boundary are determine by these rules:
36060 @item Structure members are stored sequentially in the order in which they are
36061 declared: the first member has the lowest memory address and the last member
36064 @item Every data object has an alignment requirement. The alignment requirement
36065 for all data except structures, unions, and arrays is either the size of the
36066 object or the current packing size (specified with either the
36067 @code{aligned} attribute or the @code{pack} pragma),
36068 whichever is less. For structures, unions, and arrays,
36069 the alignment requirement is the largest alignment requirement of its members.
36070 Every object is allocated an offset so that:
36073 offset % alignment_requirement == 0
36076 @item Adjacent bit-fields are packed into the same 1-, 2-, or 4-byte allocation
36077 unit if the integral types are the same size and if the next bit-field fits
36078 into the current allocation unit without crossing the boundary imposed by the
36079 common alignment requirements of the bit-fields.
36082 MSVC interprets zero-length bit-fields in the following ways:
36085 @item If a zero-length bit-field is inserted between two bit-fields that
36086 are normally coalesced, the bit-fields are not coalesced.
36093 unsigned long bf_1 : 12;
36095 unsigned long bf_2 : 12;
36100 The size of @code{t1} is 8 bytes with the zero-length bit-field. If the
36101 zero-length bit-field were removed, @code{t1}'s size would be 4 bytes.
36103 @item If a zero-length bit-field is inserted after a bit-field, @code{foo}, and the
36104 alignment of the zero-length bit-field is greater than the member that follows it,
36105 @code{bar}, @code{bar} is aligned as the type of the zero-length bit-field.
36126 For @code{t2}, @code{bar} is placed at offset 2, rather than offset 1.
36127 Accordingly, the size of @code{t2} is 4. For @code{t3}, the zero-length
36128 bit-field does not affect the alignment of @code{bar} or, as a result, the size
36131 Taking this into account, it is important to note the following:
36134 @item If a zero-length bit-field follows a normal bit-field, the type of the
36135 zero-length bit-field may affect the alignment of the structure as whole. For
36136 example, @code{t2} has a size of 4 bytes, since the zero-length bit-field follows a
36137 normal bit-field, and is of type short.
36139 @item Even if a zero-length bit-field is not followed by a normal bit-field, it may
36140 still affect the alignment of the structure:
36151 Here, @code{t4} takes up 4 bytes.
36154 @item Zero-length bit-fields following non-bit-field members are ignored:
36166 Here, @code{t5} takes up 2 bytes.
36170 @opindex mno-align-stringops
36171 @opindex malign-stringops
36172 @item -mno-align-stringops
36173 Do not align the destination of inlined string operations. This switch reduces
36174 code size and improves performance in case the destination is already aligned,
36175 but GCC doesn't know about it.
36177 @opindex minline-all-stringops
36178 @item -minline-all-stringops
36179 By default GCC inlines string operations only when the destination is
36180 known to be aligned to least a 4-byte boundary.
36181 This enables more inlining and increases code
36182 size, but may improve performance of code that depends on fast
36183 @code{memcpy} and @code{memset} for short lengths.
36184 The option enables inline expansion of @code{strlen} for all
36185 pointer alignments.
36187 @opindex minline-stringops-dynamically
36188 @item -minline-stringops-dynamically
36189 For string operations of unknown size, use run-time checks with
36190 inline code for small blocks and a library call for large blocks.
36192 @opindex mstringop-strategy=@var{alg}
36193 @item -mstringop-strategy=@var{alg}
36194 Override the internal decision heuristic for the particular algorithm to use
36195 for inlining string operations. The allowed values for @var{alg} are:
36201 Expand using i386 @code{rep} prefix of the specified size.
36205 @itemx unrolled_loop
36206 Expand into an inline loop.
36209 Always use a library call.
36212 @opindex mmemcpy-strategy=@var{strategy}
36213 @item -mmemcpy-strategy=@var{strategy}
36214 Override the internal decision heuristic to decide if @code{__builtin_memcpy}
36215 should be inlined and what inline algorithm to use when the expected size
36216 of the copy operation is known. @var{strategy}
36217 is a comma-separated list of @var{alg}:@var{max_size}:@var{dest_align} triplets.
36218 @var{alg} is specified in @option{-mstringop-strategy}, @var{max_size} specifies
36219 the max byte size with which inline algorithm @var{alg} is allowed. For the last
36220 triplet, the @var{max_size} must be @code{-1}. The @var{max_size} of the triplets
36221 in the list must be specified in increasing order. The minimal byte size for
36222 @var{alg} is @code{0} for the first triplet and @code{@var{max_size} + 1} of the
36225 @opindex mmemset-strategy=@var{strategy}
36226 @item -mmemset-strategy=@var{strategy}
36227 The option is similar to @option{-mmemcpy-strategy=} except that it is to control
36228 @code{__builtin_memset} expansion.
36230 @opindex momit-leaf-frame-pointer
36231 @item -momit-leaf-frame-pointer
36232 Don't keep the frame pointer in a register for leaf functions. This
36233 avoids the instructions to save, set up, and restore frame pointers and
36234 makes an extra register available in leaf functions. The option
36235 @option{-fomit-leaf-frame-pointer} removes the frame pointer for leaf functions,
36236 which might make debugging harder.
36238 @opindex mtls-direct-seg-refs
36239 @item -mtls-direct-seg-refs
36240 @itemx -mno-tls-direct-seg-refs
36241 Controls whether TLS variables may be accessed with offsets from the
36242 TLS segment register (@code{%gs} for 32-bit, @code{%fs} for 64-bit),
36243 or whether the thread base pointer must be added. Whether or not this
36244 is valid depends on the operating system, and whether it maps the
36245 segment to cover the entire TLS area.
36247 For systems that use the GNU C Library, the default is on.
36251 @itemx -mno-sse2avx
36252 Specify that the assembler should encode SSE instructions with VEX
36253 prefix. The option @option{-mavx} turns this on by default.
36258 If profiling is active (@option{-pg}), put the profiling
36259 counter call before the prologue.
36260 Note: On x86 architectures the attribute @code{ms_hook_prologue}
36261 isn't possible at the moment for @option{-mfentry} and @option{-pg}.
36263 @opindex mrecord-mcount
36264 @item -mrecord-mcount
36265 @itemx -mno-record-mcount
36266 If profiling is active (@option{-pg}), generate a __mcount_loc section
36267 that contains pointers to each profiling call. This is useful for
36268 automatically patching and out calls.
36270 @opindex mnop-mcount
36272 @itemx -mno-nop-mcount
36273 If profiling is active (@option{-pg}), generate the calls to
36274 the profiling functions as NOPs. This is useful when they
36275 should be patched in later dynamically. This is likely only
36276 useful together with @option{-mrecord-mcount}.
36278 @opindex minstrument-return
36279 @item -minstrument-return=@var{type}
36280 Instrument function exit in -pg -mfentry instrumented functions with
36281 call to specified function. This only instruments true returns ending
36282 with ret, but not sibling calls ending with jump. Valid types
36283 are @var{none} to not instrument, @var{call} to generate a call to __return__,
36284 or @var{nop5} to generate a 5 byte nop.
36286 @opindex mrecord-return
36287 @item -mrecord-return
36288 @itemx -mno-record-return
36289 Generate a __return_loc section pointing to all return instrumentation code.
36291 @opindex mfentry-name
36292 @item -mfentry-name=@var{name}
36293 Set name of __fentry__ symbol called at function entry for -pg -mfentry functions.
36295 @opindex mfentry-section
36296 @item -mfentry-section=@var{name}
36297 Set name of section to record -mrecord-mcount calls (default __mcount_loc).
36299 @opindex mskip-rax-setup
36300 @item -mskip-rax-setup
36301 @itemx -mno-skip-rax-setup
36302 When generating code for the x86-64 architecture with SSE extensions
36303 disabled, @option{-mskip-rax-setup} can be used to skip setting up RAX
36304 register when there are no variable arguments passed in vector registers.
36306 @strong{Warning:} Since RAX register is used to avoid unnecessarily
36307 saving vector registers on stack when passing variable arguments, the
36308 impacts of this option are callees may waste some stack space,
36309 misbehave or jump to a random location. GCC 4.4 or newer don't have
36310 those issues, regardless the RAX register value.
36312 @opindex m8bit-idiv
36314 @itemx -mno-8bit-idiv
36315 On some processors, like Intel Atom, 8-bit unsigned integer divide is
36316 much faster than 32-bit/64-bit integer divide. This option generates a
36317 run-time check. If both dividend and divisor are within range of 0
36318 to 255, 8-bit unsigned integer divide is used instead of
36319 32-bit/64-bit integer divide.
36321 @opindex mavx256-split-unaligned-load
36322 @opindex mavx256-split-unaligned-store
36323 @item -mavx256-split-unaligned-load
36324 @itemx -mavx256-split-unaligned-store
36325 Split 32-byte AVX unaligned load and store.
36327 @opindex mstack-protector-guard
36328 @opindex mstack-protector-guard-reg
36329 @opindex mstack-protector-guard-offset
36330 @item -mstack-protector-guard=@var{guard}
36331 @itemx -mstack-protector-guard-reg=@var{reg}
36332 @itemx -mstack-protector-guard-offset=@var{offset}
36333 Generate stack protection code using canary at @var{guard}. Supported
36334 locations are @samp{global} for global canary or @samp{tls} for per-thread
36335 canary in the TLS block (the default). This option has effect only when
36336 @option{-fstack-protector} or @option{-fstack-protector-all} is specified.
36338 With the latter choice the options
36339 @option{-mstack-protector-guard-reg=@var{reg}} and
36340 @option{-mstack-protector-guard-offset=@var{offset}} furthermore specify
36341 which segment register (@code{%fs} or @code{%gs}) to use as base register
36342 for reading the canary, and from what offset from that base register.
36343 The default for those is as specified in the relevant ABI.
36345 @opindex mgeneral-regs-only
36346 @item -mgeneral-regs-only
36347 Generate code that uses only the general-purpose registers. This
36348 prevents the compiler from using floating-point, vector, mask and bound
36351 @opindex mrelax-cmpxchg-loop
36352 @item -mrelax-cmpxchg-loop
36353 When emitting a compare-and-swap loop for @ref{__sync Builtins}
36354 and @ref{__atomic Builtins} lacking a native instruction, optimize
36355 for the highly contended case by issuing an atomic load before the
36356 @code{CMPXCHG} instruction, and using the @code{PAUSE} instruction
36357 to save CPU power when restarting the loop.
36359 @opindex mindirect-branch
36360 @item -mindirect-branch=@var{choice}
36361 Convert indirect call and jump with @var{choice}. The default is
36362 @samp{keep}, which keeps indirect call and jump unmodified.
36363 @samp{thunk} converts indirect call and jump to call and return thunk.
36364 @samp{thunk-inline} converts indirect call and jump to inlined call
36365 and return thunk. @samp{thunk-extern} converts indirect call and jump
36366 to external call and return thunk provided in a separate object file.
36367 You can control this behavior for a specific function by using the
36368 function attribute @code{indirect_branch}. @xref{Function Attributes}.
36370 Note that @option{-mcmodel=large} is incompatible with
36371 @option{-mindirect-branch=thunk} and
36372 @option{-mindirect-branch=thunk-extern} since the thunk function may
36373 not be reachable in the large code model.
36375 Note that @option{-mindirect-branch=thunk-extern} is compatible with
36376 @option{-fcf-protection=branch} since the external thunk can be made
36377 to enable control-flow check.
36379 @opindex mfunction-return
36380 @item -mfunction-return=@var{choice}
36381 Convert function return with @var{choice}. The default is @samp{keep},
36382 which keeps function return unmodified. @samp{thunk} converts function
36383 return to call and return thunk. @samp{thunk-inline} converts function
36384 return to inlined call and return thunk. @samp{thunk-extern} converts
36385 function return to external call and return thunk provided in a separate
36386 object file. You can control this behavior for a specific function by
36387 using the function attribute @code{function_return}.
36388 @xref{Function Attributes}.
36390 Note that @option{-mindirect-return=thunk-extern} is compatible with
36391 @option{-fcf-protection=branch} since the external thunk can be made
36392 to enable control-flow check.
36394 Note that @option{-mcmodel=large} is incompatible with
36395 @option{-mfunction-return=thunk} and
36396 @option{-mfunction-return=thunk-extern} since the thunk function may
36397 not be reachable in the large code model.
36400 @opindex mindirect-branch-register
36401 @item -mindirect-branch-register
36402 Force indirect call and jump via register.
36404 @opindex mharden-sls
36405 @item -mharden-sls=@var{choice}
36406 Generate code to mitigate against straight line speculation (SLS) with
36407 @var{choice}. The default is @samp{none} which disables all SLS
36408 hardening. @samp{return} enables SLS hardening for function returns.
36409 @samp{indirect-jmp} enables SLS hardening for indirect jumps.
36410 @samp{all} enables all SLS hardening.
36412 @opindex mindirect-branch-cs-prefix
36413 @item -mindirect-branch-cs-prefix
36414 Add CS prefix to call and jmp to indirect thunk with branch target in
36415 r8-r15 registers so that the call and jmp instruction length is 6 bytes
36416 to allow them to be replaced with @samp{lfence; call *%r8-r15} or
36417 @samp{lfence; jmp *%r8-r15} at run-time.
36419 @opindex mapx-inline-asm-use-gpr32
36420 @item -mapx-inline-asm-use-gpr32
36421 For inline asm support with APX, by default the EGPR feature was
36422 disabled to prevent potential illegal instruction with EGPR occurs.
36423 To invoke egpr usage in inline asm, use new compiler option
36424 -mapx-inline-asm-use-gpr32 and user should ensure the instruction
36429 @itemx -mno-evex512
36430 Enables/disables 512-bit vector. It will be default on if AVX512F is enabled.
36434 These @samp{-m} switches are supported in addition to the above
36435 on x86-64 processors in 64-bit environments.
36448 Generate code for a 16-bit, 32-bit or 64-bit environment.
36449 The @option{-m32} option sets @code{int}, @code{long}, and pointer types
36451 generates code that runs in 32-bit mode.
36453 The @option{-m64} option sets @code{int} to 32 bits and @code{long} and pointer
36454 types to 64 bits, and generates code for the x86-64 architecture.
36455 For Darwin only the @option{-m64} option also turns off the @option{-fno-pic}
36456 and @option{-mdynamic-no-pic} options.
36458 The @option{-mx32} option sets @code{int}, @code{long}, and pointer types
36460 generates code for the x86-64 architecture.
36462 The @option{-m16} option is the same as @option{-m32}, except for that
36463 it outputs the @code{.code16gcc} assembly directive at the beginning of
36464 the assembly output so that the binary can run in 16-bit mode.
36466 The @option{-miamcu} option generates code which conforms to Intel MCU
36467 psABI. It requires the @option{-m32} option to be turned on.
36469 @opindex mno-red-zone
36471 @item -mno-red-zone
36472 Do not use a so-called ``red zone'' for x86-64 code. The red zone is mandated
36473 by the x86-64 ABI; it is a 128-byte area beyond the location of the
36474 stack pointer that is not modified by signal or interrupt handlers
36475 and therefore can be used for temporary data without adjusting the stack
36476 pointer. The flag @option{-mno-red-zone} disables this red zone.
36479 @opindex mcmodel=small
36480 @item -mcmodel=small
36481 Generate code for the small code model: the program and its symbols must
36482 be linked in the lower 2 GB of the address space. Pointers are 64 bits.
36483 Programs can be statically or dynamically linked. This is the default
36486 @opindex mcmodel=kernel
36487 @item -mcmodel=kernel
36488 Generate code for the kernel code model. The kernel runs in the
36489 negative 2 GB of the address space.
36490 This model has to be used for Linux kernel code.
36492 @opindex mcmodel=medium
36493 @item -mcmodel=medium
36494 Generate code for the medium model: the program is linked in the lower 2
36495 GB of the address space. Small symbols are also placed there. Symbols
36496 with sizes larger than @option{-mlarge-data-threshold} are put into
36497 large data or BSS sections and can be located above 2GB. Programs can
36498 be statically or dynamically linked.
36500 @opindex mcmodel=large
36501 @item -mcmodel=large
36502 Generate code for the large model. This model makes no assumptions
36503 about addresses and sizes of sections.
36505 @opindex maddress-mode=long
36506 @item -maddress-mode=long
36507 Generate code for long address mode. This is only supported for 64-bit
36508 and x32 environments. It is the default address mode for 64-bit
36511 @opindex maddress-mode=short
36512 @item -maddress-mode=short
36513 Generate code for short address mode. This is only supported for 32-bit
36514 and x32 environments. It is the default address mode for 32-bit and
36520 Emit GNU_PROPERTY_X86_ISA_1_NEEDED GNU property for Linux target to
36521 indicate the micro-architecture ISA level required to execute the binary.
36523 @opindex mno-direct-extern-access
36524 @opindex mdirect-extern-access
36525 @item -mno-direct-extern-access
36526 Without @option{-fpic} nor @option{-fPIC}, always use the GOT pointer
36527 to access external symbols. With @option{-fpic} or @option{-fPIC},
36528 treat access to protected symbols as local symbols. The default is
36529 @option{-mdirect-extern-access}.
36531 @strong{Warning:} shared libraries compiled with
36532 @option{-mno-direct-extern-access} and executable compiled with
36533 @option{-mdirect-extern-access} may not be binary compatible if
36534 protected symbols are used in shared libraries and executable.
36536 @opindex munroll-only-small-loops
36537 @opindex mno-unroll-only-small-loops
36538 @item -munroll-only-small-loops
36539 Controls conservative small loop unrolling. It is default enabled by
36540 O2, and unrolls loop with less than 4 insns by 1 time. Explicit
36541 -f[no-]unroll-[all-]loops would disable this flag to avoid any
36542 unintended unrolling behavior that user does not want.
36545 @item -mlam=@var{choice}
36546 LAM(linear-address masking) allows special bits in the pointer to be used
36547 for metadata. The default is @samp{none}. With @samp{u48}, pointer bits in
36548 positions 62:48 can be used for metadata; With @samp{u57}, pointer bits in
36549 positions 62:57 can be used for metadata.
36552 @node x86 Windows Options
36553 @subsection x86 Windows Options
36554 @cindex x86 Windows Options
36555 @cindex Windows Options for x86
36557 @xref{Cygwin and MinGW Options}.
36559 @node Cygwin and MinGW Options
36560 @subsection Cygwin and MinGW Options
36561 @cindex Cygwin and MinGW Options
36562 @cindex Options for Cygwin and MinGW
36564 These additional options are available for Microsoft Windows targets:
36570 specifies that a console application is to be generated, by
36571 instructing the linker to set the PE header subsystem type
36572 required for console applications.
36573 This option is available for Cygwin and MinGW targets and is
36574 enabled by default on those targets.
36577 @item -mcrtdll=@var{library}
36578 Preprocess, compile or link with specified C RunTime DLL @var{library}.
36579 This option adjust predefined macros @code{__CRTDLL__}, @code{__MSVCRT__},
36580 @code{_UCRT} and @code{__MSVCRT_VERSION__} for specified CRT @var{library},
36581 choose start file for CRT @var{library} and link with CRT @var{library}.
36582 Recognized CRT library names for proprocessor are:
36583 @code{crtdll*}, @code{msvcrt10*}, @code{msvcrt20*}, @code{msvcrt40*},
36584 @code{msvcr40*}, @code{msvcrtd*}, @code{msvcrt-os*},
36585 @code{msvcr70*}, @code{msvcr71*}, @code{msvcr80*}, @code{msvcr90*},
36586 @code{msvcr100*}, @code{msvcr110*}, @code{msvcr120*} and @code{ucrt*}.
36587 If this options is not specified then the default MinGW import library
36588 @code{msvcrt} is used for linking and no other adjustment for
36589 preprocessor is done. MinGW import library @code{msvcrt} is just a
36590 symlink to (or a copy of) another MinGW CRT import library
36591 chosen during MinGW compilation. MinGW import library @code{msvcrt-os}
36592 is for Windows system CRT DLL library @code{msvcrt.dll} and
36593 in most cases is the default MinGW import library.
36594 Generally speaking, changing the CRT DLL requires recompiling
36595 the entire MinGW CRT. This option is for experimental and testing
36597 This option is available for MinGW targets.
36601 This option is available for Cygwin and MinGW targets. It
36602 specifies that a DLL---a dynamic link library---is to be
36603 generated, enabling the selection of the required runtime
36604 startup object and entry point.
36606 @opindex mnop-fun-dllimport
36607 @item -mnop-fun-dllimport
36608 This option is available for Cygwin and MinGW targets. It
36609 specifies that the @code{dllimport} attribute should be ignored.
36613 This option is available for MinGW targets. It specifies
36614 that MinGW-specific thread support is to be used.
36618 This option is available for MinGW-w64 targets. It causes
36619 the @code{UNICODE} preprocessor macro to be predefined, and
36620 chooses Unicode-capable runtime startup code.
36624 This option is available for Cygwin and MinGW targets. It
36625 specifies that the typical Microsoft Windows predefined macros are to
36626 be set in the pre-processor, but does not influence the choice
36627 of runtime library/startup code.
36631 This option is available for Cygwin and MinGW targets. It
36632 specifies that a GUI application is to be generated by
36633 instructing the linker to set the PE header subsystem type
36636 @opindex fno-set-stack-executable
36637 @opindex fset-stack-executable
36638 @item -fno-set-stack-executable
36639 This option is available for MinGW targets. It specifies that
36640 the executable flag for the stack used by nested functions isn't
36641 set. This is necessary for binaries running in kernel mode of
36642 Microsoft Windows, as there the User32 API, which is used to set executable
36643 privileges, isn't available.
36645 @opindex fno-writable-relocated-rdata
36646 @opindex fwritable-relocated-rdata
36647 @item -fwritable-relocated-rdata
36648 This option is available for MinGW and Cygwin targets. It specifies
36649 that relocated-data in read-only section is put into the @code{.data}
36650 section. This is a necessary for older runtimes not supporting
36651 modification of @code{.rdata} sections for pseudo-relocation.
36653 @opindex mpe-aligned-commons
36654 @item -mpe-aligned-commons
36655 This option is available for Cygwin and MinGW targets. It
36656 specifies that the GNU extension to the PE file format that
36657 permits the correct alignment of COMMON variables should be
36658 used when generating code. It is enabled by default if
36659 GCC detects that the target assembler found during configuration
36660 supports the feature.
36663 See also under @ref{x86 Options} for standard options.
36665 @node Xstormy16 Options
36666 @subsection Xstormy16 Options
36667 @cindex Xstormy16 Options
36669 These options are defined for Xstormy16:
36674 Choose startup files and linker script suitable for the simulator.
36677 @node Xtensa Options
36678 @subsection Xtensa Options
36679 @cindex Xtensa Options
36681 These options are supported for Xtensa targets:
36685 @opindex mno-const16
36687 @itemx -mno-const16
36688 Enable or disable use of @code{CONST16} instructions for loading
36689 constant values. The @code{CONST16} instruction is currently not a
36690 standard option from Tensilica. When enabled, @code{CONST16}
36691 instructions are always used in place of the standard @code{L32R}
36692 instructions. The use of @code{CONST16} is enabled by default only if
36693 the @code{L32R} instruction is not available.
36695 @opindex mfused-madd
36696 @opindex mno-fused-madd
36698 @itemx -mno-fused-madd
36699 Enable or disable use of fused multiply/add and multiply/subtract
36700 instructions in the floating-point option. This has no effect if the
36701 floating-point option is not also enabled. Disabling fused multiply/add
36702 and multiply/subtract instructions forces the compiler to use separate
36703 instructions for the multiply and add/subtract operations. This may be
36704 desirable in some cases where strict IEEE 754-compliant results are
36705 required: the fused multiply add/subtract instructions do not round the
36706 intermediate result, thereby producing results with @emph{more} bits of
36707 precision than specified by the IEEE standard. Disabling fused multiply
36708 add/subtract instructions also ensures that the program output is not
36709 sensitive to the compiler's ability to combine multiply and add/subtract
36712 @opindex mserialize-volatile
36713 @opindex mno-serialize-volatile
36714 @item -mserialize-volatile
36715 @itemx -mno-serialize-volatile
36716 When this option is enabled, GCC inserts @code{MEMW} instructions before
36717 @code{volatile} memory references to guarantee sequential consistency.
36718 The default is @option{-mserialize-volatile}. Use
36719 @option{-mno-serialize-volatile} to omit the @code{MEMW} instructions.
36721 @opindex mforce-no-pic
36722 @item -mforce-no-pic
36723 For targets, like GNU/Linux, where all user-mode Xtensa code must be
36724 position-independent code (PIC), this option disables PIC for compiling
36727 @opindex mtext-section-literals
36728 @opindex mno-text-section-literals
36729 @item -mtext-section-literals
36730 @itemx -mno-text-section-literals
36731 These options control the treatment of literal pools. The default is
36732 @option{-mno-text-section-literals}, which places literals in a separate
36733 section in the output file. This allows the literal pool to be placed
36734 in a data RAM/ROM, and it also allows the linker to combine literal
36735 pools from separate object files to remove redundant literals and
36736 improve code size. With @option{-mtext-section-literals}, the literals
36737 are interspersed in the text section in order to keep them as close as
36738 possible to their references. This may be necessary for large assembly
36739 files. Literals for each function are placed right before that function.
36741 @opindex mauto-litpools
36742 @opindex mno-auto-litpools
36743 @item -mauto-litpools
36744 @itemx -mno-auto-litpools
36745 These options control the treatment of literal pools. The default is
36746 @option{-mno-auto-litpools}, which places literals in a separate
36747 section in the output file unless @option{-mtext-section-literals} is
36748 used. With @option{-mauto-litpools} the literals are interspersed in
36749 the text section by the assembler. Compiler does not produce explicit
36750 @code{.literal} directives and loads literals into registers with
36751 @code{MOVI} instructions instead of @code{L32R} to let the assembler
36752 do relaxation and place literals as necessary. This option allows
36753 assembler to create several literal pools per function and assemble
36754 very big functions, which may not be possible with
36755 @option{-mtext-section-literals}.
36757 @opindex mtarget-align
36758 @opindex mno-target-align
36759 @item -mtarget-align
36760 @itemx -mno-target-align
36761 When this option is enabled, GCC instructs the assembler to
36762 automatically align instructions to reduce branch penalties at the
36763 expense of some code density. The assembler attempts to widen density
36764 instructions to align branch targets and the instructions following call
36765 instructions. If there are not enough preceding safe density
36766 instructions to align a target, no widening is performed. The
36767 default is @option{-mtarget-align}. These options do not affect the
36768 treatment of auto-aligned instructions like @code{LOOP}, which the
36769 assembler always aligns, either by widening density instructions or
36770 by inserting NOP instructions.
36772 @opindex mlongcalls
36773 @opindex mno-longcalls
36775 @itemx -mno-longcalls
36776 When this option is enabled, GCC instructs the assembler to translate
36777 direct calls to indirect calls unless it can determine that the target
36778 of a direct call is in the range allowed by the call instruction. This
36779 translation typically occurs for calls to functions in other source
36780 files. Specifically, the assembler translates a direct @code{CALL}
36781 instruction into an @code{L32R} followed by a @code{CALLX} instruction.
36782 The default is @option{-mno-longcalls}. This option should be used in
36783 programs where the call target can potentially be out of range. This
36784 option is implemented in the assembler, not the compiler, so the
36785 assembly code generated by GCC still shows direct call
36786 instructions---look at the disassembled object code to see the actual
36787 instructions. Note that the assembler uses an indirect call for
36788 every cross-file call, not just those that really are out of range.
36791 @item -mabi=@var{name}
36792 Generate code for the specified ABI@. Permissible values are: @samp{call0},
36793 @samp{windowed}. Default ABI is chosen by the Xtensa core configuration.
36795 @opindex mabi=call0
36797 When this option is enabled function parameters are passed in registers
36798 @code{a2} through @code{a7}, registers @code{a12} through @code{a15} are
36799 caller-saved, and register @code{a15} may be used as a frame pointer.
36800 When this version of the ABI is enabled the C preprocessor symbol
36801 @code{__XTENSA_CALL0_ABI__} is defined.
36803 @opindex mabi=windowed
36804 @item -mabi=windowed
36805 When this option is enabled function parameters are passed in registers
36806 @code{a10} through @code{a15}, and called function rotates register window
36807 by 8 registers on entry so that its arguments are found in registers
36808 @code{a2} through @code{a7}. Register @code{a7} may be used as a frame
36809 pointer. Register window is rotated 8 registers back upon return.
36810 When this version of the ABI is enabled the C preprocessor symbol
36811 @code{__XTENSA_WINDOWED_ABI__} is defined.
36813 @opindex mextra-l32r-costs
36814 @item -mextra-l32r-costs=@var{n}
36815 Specify an extra cost of instruction RAM/ROM access for @code{L32R}
36816 instructions, in clock cycles. This affects, when optimizing for speed,
36817 whether loading a constant from literal pool using @code{L32R} or
36818 synthesizing the constant from a small one with a couple of arithmetic
36819 instructions. The default value is 0.
36821 @opindex mstrict-align
36822 @opindex mno-strict-align
36823 @item -mstrict-align
36824 @itemx -mno-strict-align
36825 Avoid or allow generating memory accesses that may not be aligned on a natural
36826 object boundary as described in the architecture specification.
36827 The default is @option{-mno-strict-align} for cores that support both
36828 unaligned loads and stores in hardware and @option{-mstrict-align} for all
36833 @node zSeries Options
36834 @subsection zSeries Options
36835 @cindex zSeries options
36837 These are listed under @xref{S/390 and zSeries Options}.
36843 @section Specifying Subprocesses and the Switches to Pass to Them
36846 @command{gcc} is a driver program. It performs its job by invoking a
36847 sequence of other programs to do the work of compiling, assembling and
36848 linking. GCC interprets its command-line parameters and uses these to
36849 deduce which programs it should invoke, and which command-line options
36850 it ought to place on their command lines. This behavior is controlled
36851 by @dfn{spec strings}. In most cases there is one spec string for each
36852 program that GCC can invoke, but a few programs have multiple spec
36853 strings to control their behavior. The spec strings built into GCC can
36854 be overridden by using the @option{-specs=} command-line switch to specify
36857 @dfn{Spec files} are plain-text files that are used to construct spec
36858 strings. They consist of a sequence of directives separated by blank
36859 lines. The type of directive is determined by the first non-whitespace
36860 character on the line, which can be one of the following:
36863 @item %@var{command}
36864 Issues a @var{command} to the spec file processor. The commands that can
36868 @cindex @code{%include}
36869 @item %include <@var{file}>
36870 Search for @var{file} and insert its text at the current point in the
36873 @cindex @code{%include_noerr}
36874 @item %include_noerr <@var{file}>
36875 Just like @samp{%include}, but do not generate an error message if the include
36876 file cannot be found.
36878 @cindex @code{%rename}
36879 @item %rename @var{old_name} @var{new_name}
36880 Rename the spec string @var{old_name} to @var{new_name}.
36884 @item *[@var{spec_name}]:
36885 This tells the compiler to create, override or delete the named spec
36886 string. All lines after this directive up to the next directive or
36887 blank line are considered to be the text for the spec string. If this
36888 results in an empty string then the spec is deleted. (Or, if the
36889 spec did not exist, then nothing happens.) Otherwise, if the spec
36890 does not currently exist a new spec is created. If the spec does
36891 exist then its contents are overridden by the text of this
36892 directive, unless the first character of that text is the @samp{+}
36893 character, in which case the text is appended to the spec.
36895 @item [@var{suffix}]:
36896 Creates a new @samp{[@var{suffix}] spec} pair. All lines after this directive
36897 and up to the next directive or blank line are considered to make up the
36898 spec string for the indicated suffix. When the compiler encounters an
36899 input file with the named suffix, it processes the spec string in
36900 order to work out how to compile that file. For example:
36904 z-compile -input %i
36907 This says that any input file whose name ends in @samp{.ZZ} should be
36908 passed to the program @samp{z-compile}, which should be invoked with the
36909 command-line switch @option{-input} and with the result of performing the
36910 @samp{%i} substitution. (See below.)
36912 As an alternative to providing a spec string, the text following a
36913 suffix directive can be one of the following:
36916 @item @@@var{language}
36917 This says that the suffix is an alias for a known @var{language}. This is
36918 similar to using the @option{-x} command-line switch to GCC to specify a
36919 language explicitly. For example:
36926 Says that .ZZ files are, in fact, C++ source files.
36929 This causes an error messages saying:
36932 @var{name} compiler not installed on this system.
36936 GCC already has an extensive list of suffixes built into it.
36937 This directive adds an entry to the end of the list of suffixes, but
36938 since the list is searched from the end backwards, it is effectively
36939 possible to override earlier entries using this technique.
36943 GCC has the following spec strings built into it. Spec files can
36944 override these strings or create their own. Note that individual
36945 targets can also add their own spec strings to this list.
36948 asm Options to pass to the assembler
36949 asm_final Options to pass to the assembler post-processor
36950 cpp Options to pass to the C preprocessor
36951 cc1 Options to pass to the C compiler
36952 cc1plus Options to pass to the C++ compiler
36953 endfile Object files to include at the end of the link
36954 link Options to pass to the linker
36955 lib Libraries to include on the command line to the linker
36956 libgcc Decides which GCC support library to pass to the linker
36957 linker Sets the name of the linker
36958 startfile Object files to include at the start of the link
36961 Here is a small example of a spec file:
36964 %rename lib old_lib
36967 --start-group -lgcc -lc -leval1 --end-group %(old_lib)
36970 This example renames the spec called @samp{lib} to @samp{old_lib} and
36971 then overrides the previous definition of @samp{lib} with a new one.
36972 The new definition adds in some extra command-line options before
36973 including the text of the old definition.
36975 @dfn{Spec strings} are a list of command-line options to be passed to their
36976 corresponding program. In addition, the spec strings can contain
36977 @samp{%}-prefixed sequences to substitute variable text or to
36978 conditionally insert text into the command line. Using these constructs
36979 it is possible to generate quite complex command lines.
36981 Here is a table of all defined @samp{%}-sequences for spec
36982 strings. Note that spaces are not generated automatically around the
36983 results of expanding these sequences. Therefore you can concatenate them
36984 together or combine them with constant text in a single argument.
36988 Substitute one @samp{%} into the program name or argument.
36991 Substitute an empty argument.
36994 Substitute the name of the input file being processed.
36997 Substitute the basename for outputs related with the input file being
36998 processed. This is often the substring up to (and not including) the
36999 last period and not including the directory but, unless %w is active, it
37000 expands to the basename for auxiliary outputs, which may be influenced
37001 by an explicit output name, and by various other options that control
37002 how auxiliary outputs are named.
37005 This is the same as @samp{%b}, but include the file suffix (text after
37006 the last period). Without %w, it expands to the basename for dump
37010 Marks the argument containing or following the @samp{%d} as a
37011 temporary file name, so that that file is deleted if GCC exits
37012 successfully. Unlike @samp{%g}, this contributes no text to the
37015 @item %g@var{suffix}
37016 Substitute a file name that has suffix @var{suffix} and is chosen
37017 once per compilation, and mark the argument in the same way as
37018 @samp{%d}. To reduce exposure to denial-of-service attacks, the file
37019 name is now chosen in a way that is hard to predict even when previously
37020 chosen file names are known. For example, @samp{%g.s @dots{} %g.o @dots{} %g.s}
37021 might turn into @samp{ccUVUUAU.s ccXYAXZ12.o ccUVUUAU.s}. @var{suffix} matches
37022 the regexp @samp{[.A-Za-z]*} or the special string @samp{%O}, which is
37023 treated exactly as if @samp{%O} had been preprocessed. Previously, @samp{%g}
37024 was simply substituted with a file name chosen once per compilation,
37025 without regard to any appended suffix (which was therefore treated
37026 just like ordinary text), making such attacks more likely to succeed.
37028 @item %u@var{suffix}
37029 Like @samp{%g}, but generates a new temporary file name
37030 each time it appears instead of once per compilation.
37032 @item %U@var{suffix}
37033 Substitutes the last file name generated with @samp{%u@var{suffix}}, generating a
37034 new one if there is no such last file name. In the absence of any
37035 @samp{%u@var{suffix}}, this is just like @samp{%g@var{suffix}}, except they don't share
37036 the same suffix @emph{space}, so @samp{%g.s @dots{} %U.s @dots{} %g.s @dots{} %U.s}
37037 involves the generation of two distinct file names, one
37038 for each @samp{%g.s} and another for each @samp{%U.s}. Previously, @samp{%U} was
37039 simply substituted with a file name chosen for the previous @samp{%u},
37040 without regard to any appended suffix.
37042 @item %j@var{suffix}
37043 Substitutes the name of the @code{HOST_BIT_BUCKET}, if any, and if it is
37044 writable, and if @option{-save-temps} is not used;
37045 otherwise, substitute the name
37046 of a temporary file, just like @samp{%u}. This temporary file is not
37047 meant for communication between processes, but rather as a junk
37048 disposal mechanism.
37050 @item %|@var{suffix}
37051 @itemx %m@var{suffix}
37052 Like @samp{%g}, except if @option{-pipe} is in effect. In that case
37053 @samp{%|} substitutes a single dash and @samp{%m} substitutes nothing at
37054 all. These are the two most common ways to instruct a program that it
37055 should read from standard input or write to standard output. If you
37056 need something more elaborate you can use an @samp{%@{pipe:@code{X}@}}
37057 construct: see for example @file{gcc/fortran/lang-specs.h}.
37059 @item %.@var{SUFFIX}
37060 Substitutes @var{.SUFFIX} for the suffixes of a matched switch's args
37061 when it is subsequently output with @samp{%*}. @var{SUFFIX} is
37062 terminated by the next space or %.
37065 Marks the argument containing or following the @samp{%w} as the
37066 designated output file of this compilation. This puts the argument
37067 into the sequence of arguments that @samp{%o} substitutes.
37070 Indicates that this compilation produces no output file.
37073 Substitutes the names of all the output files, with spaces
37074 automatically placed around them. You should write spaces
37075 around the @samp{%o} as well or the results are undefined.
37076 @samp{%o} is for use in the specs for running the linker.
37077 Input files whose names have no recognized suffix are not compiled
37078 at all, but they are included among the output files, so they are
37082 Substitutes the suffix for object files. Note that this is
37083 handled specially when it immediately follows @samp{%g, %u, or %U},
37084 because of the need for those to form complete file names. The
37085 handling is such that @samp{%O} is treated exactly as if it had already
37086 been substituted, except that @samp{%g, %u, and %U} do not currently
37087 support additional @var{suffix} characters following @samp{%O} as they do
37088 following, for example, @samp{.o}.
37091 Substitute any of @option{-iprefix} (made from @env{GCC_EXEC_PREFIX}),
37092 @option{-isysroot} (made from @env{TARGET_SYSTEM_ROOT}),
37093 @option{-isystem} (made from @env{COMPILER_PATH} and @option{-B} options)
37094 and @option{-imultilib} as necessary.
37097 Current argument is the name of a library or startup file of some sort.
37098 Search for that file in a standard list of directories and substitute
37099 the full name found. The current working directory is included in the
37100 list of directories scanned.
37103 Current argument is the name of a linker script. Search for that file
37104 in the current list of directories to scan for libraries. If the file
37105 is located insert a @option{--script} option into the command line
37106 followed by the full path name found. If the file is not found then
37107 generate an error message. Note: the current working directory is not
37111 Print @var{str} as an error message. @var{str} is terminated by a newline.
37112 Use this when inconsistent options are detected.
37115 Print @var{str} as a notice. @var{str} is terminated by a newline.
37117 @item %(@var{name})
37118 Substitute the contents of spec string @var{name} at this point.
37120 @item %x@{@var{option}@}
37121 Accumulate an option for @samp{%X}.
37124 Output the accumulated linker options specified by a @samp{%x} spec string.
37127 Output the accumulated assembler options specified by @option{-Wa}.
37130 Output the accumulated preprocessor options specified by @option{-Wp}.
37133 Output @code{multilib_os_dir}.
37136 Output the concatenation of @code{target_system_root} and @code{target_sysroot_suffix}.
37139 Process the @code{asm} spec. This is used to compute the
37140 switches to be passed to the assembler.
37143 Process the @code{asm_final} spec. This is a spec string for
37144 passing switches to an assembler post-processor, if such a program is
37148 Process the @code{link} spec. This is the spec for computing the
37149 command line passed to the linker. Typically it makes use of the
37150 @samp{%L %G %S %D and %E} sequences.
37153 Dump out a @option{-L} option for each directory that GCC believes might
37154 contain startup files. If the target supports multilibs then the
37155 current multilib directory is prepended to each of these paths.
37158 Process the @code{lib} spec. This is a spec string for deciding which
37159 libraries are included on the command line to the linker.
37162 Process the @code{libgcc} spec. This is a spec string for deciding
37163 which GCC support library is included on the command line to the linker.
37166 Process the @code{startfile} spec. This is a spec for deciding which
37167 object files are the first ones passed to the linker. Typically
37168 this might be a file named @file{crt0.o}.
37171 Process the @code{endfile} spec. This is a spec string that specifies
37172 the last object files that are passed to the linker.
37175 Process the @code{cpp} spec. This is used to construct the arguments
37176 to be passed to the C preprocessor.
37179 Process the @code{cc1} spec. This is used to construct the options to be
37180 passed to the actual C compiler (@command{cc1}).
37183 Process the @code{cc1plus} spec. This is used to construct the options to be
37184 passed to the actual C++ compiler (@command{cc1plus}).
37187 Substitute the variable part of a matched option. See below.
37188 Note that each comma in the substituted string is replaced by
37192 Remove all occurrences of @code{-@var{S}} from the command line. Note---this
37193 command is position dependent. @samp{%} commands in the spec string
37194 before this one see @code{-@var{S}}, @samp{%} commands in the spec string
37195 after this one do not.
37198 Similar to @samp{%<@var{S}}, but match all switches beginning with @code{-@var{S}}.
37201 Similar to @samp{%<@var{S}}, but keep @code{-@var{S}} in the GCC command line.
37203 @item %:@var{function}(@var{args})
37204 Call the named function @var{function}, passing it @var{args}.
37205 @var{args} is first processed as a nested spec string, then split
37206 into an argument vector in the usual fashion. The function returns
37207 a string which is processed as if it had appeared literally as part
37208 of the current spec.
37210 The following built-in spec functions are provided:
37213 @item @code{getenv}
37214 The @code{getenv} spec function takes two arguments: an environment
37215 variable name and a string. If the environment variable is not
37216 defined, a fatal error is issued. Otherwise, the return value is the
37217 value of the environment variable concatenated with the string. For
37218 example, if @env{TOPDIR} is defined as @file{/path/to/top}, then:
37221 %:getenv(TOPDIR /include)
37224 expands to @file{/path/to/top/include}.
37226 @item @code{if-exists}
37227 The @code{if-exists} spec function takes one argument, an absolute
37228 pathname to a file. If the file exists, @code{if-exists} returns the
37229 pathname. Here is a small example of its usage:
37233 crt0%O%s %:if-exists(crti%O%s) crtbegin%O%s
37236 @item @code{if-exists-else}
37237 The @code{if-exists-else} spec function is similar to the @code{if-exists}
37238 spec function, except that it takes two arguments. The first argument is
37239 an absolute pathname to a file. If the file exists, @code{if-exists-else}
37240 returns the pathname. If it does not exist, it returns the second argument.
37241 This way, @code{if-exists-else} can be used to select one file or another,
37242 based on the existence of the first. Here is a small example of its usage:
37246 crt0%O%s %:if-exists(crti%O%s) \
37247 %:if-exists-else(crtbeginT%O%s crtbegin%O%s)
37250 @item @code{if-exists-then-else}
37251 The @code{if-exists-then-else} spec function takes at least two arguments
37252 and an optional third one. The first argument is an absolute pathname to a
37253 file. If the file exists, the function returns the second argument.
37254 If the file does not exist, the function returns the third argument if there
37255 is one, or NULL otherwise. This can be used to expand one text, or optionally
37256 another, based on the existence of a file. Here is a small example of its
37260 -l%:if-exists-then-else(%:getenv(VSB_DIR rtnet.h) rtnet net)
37263 @item @code{sanitize}
37264 The @code{sanitize} spec function takes no arguments. It returns non-NULL if
37265 any address, thread or undefined behavior sanitizers are active.
37268 %@{%:sanitize(address):-funwind-tables@}
37271 @item @code{replace-outfile}
37272 The @code{replace-outfile} spec function takes two arguments. It looks for the
37273 first argument in the outfiles array and replaces it with the second argument. Here
37274 is a small example of its usage:
37277 %@{fgnu-runtime:%:replace-outfile(-lobjc -lobjc-gnu)@}
37280 @item @code{remove-outfile}
37281 The @code{remove-outfile} spec function takes one argument. It looks for the
37282 first argument in the outfiles array and removes it. Here is a small example
37286 %:remove-outfile(-lm)
37289 @item @code{version-compare}
37290 The @code{version-compare} spec function takes four or five arguments of the following
37294 <comparison-op> <arg1> [<arg2>] <switch> <result>
37297 It returns @code{result} if the comparison evaluates to true, and NULL if it doesn't.
37298 The supported @code{comparison-op} values are:
37302 True if @code{switch} is a later (or same) version than @code{arg1}
37305 Opposite of @code{>=}
37308 True if @code{switch} is an earlier version than @code{arg1}
37311 Opposite of @code{<}
37314 True if @code{switch} is @code{arg1} or later, and earlier than @code{arg2}
37317 True if @code{switch} is earlier than @code{arg1}, or is @code{arg2} or later
37320 If the @code{switch} is not present at all, the condition is false unless the first character
37321 of the @code{comparison-op} is @code{!}.
37324 %:version-compare(>= 10.3 mmacosx-version-min= -lmx)
37327 The above example would add @option{-lmx} if @option{-mmacosx-version-min=10.3.9} was
37330 @item @code{include}
37331 The @code{include} spec function behaves much like @code{%include}, with the advantage
37332 that it can be nested inside a spec and thus be conditionalized. It takes one argument,
37333 the filename, and looks for it in the startfile path. It always returns NULL.
37336 %@{static-libasan|static:%:include(libsanitizer.spec)%(link_libasan)@}
37339 @item @code{pass-through-libs}
37340 The @code{pass-through-libs} spec function takes any number of arguments. It
37341 finds any @option{-l} options and any non-options ending in @file{.a} (which it
37342 assumes are the names of linker input library archive files) and returns a
37343 result containing all the found arguments each prepended by
37344 @option{-plugin-opt=-pass-through=} and joined by spaces. This list is
37345 intended to be passed to the LTO linker plugin.
37348 %:pass-through-libs(%G %L %G)
37351 @item @code{print-asm-header}
37352 The @code{print-asm-header} function takes no arguments and simply
37353 prints a banner like:
37359 Use "-Wa,OPTION" to pass "OPTION" to the assembler.
37362 It is used to separate compiler options from assembler options
37363 in the @option{--target-help} output.
37366 The @code{gt} spec function takes two or more arguments. It returns @code{""} (the
37367 empty string) if the second-to-last argument is greater than the last argument, and NULL
37368 otherwise. The following example inserts the @code{link_gomp} spec if the last
37369 @option{-ftree-parallelize-loops=} option given on the command line is greater than 1:
37372 %@{%:gt(%@{ftree-parallelize-loops=*:%*@} 1):%:include(libgomp.spec)%(link_gomp)@}
37375 @item @code{debug-level-gt}
37376 The @code{debug-level-gt} spec function takes one argument and returns @code{""} (the
37377 empty string) if @code{debug_info_level} is greater than the specified number, and NULL
37381 %@{%:debug-level-gt(0):%@{gdwarf*:--gdwarf2@}@}
37386 Substitutes the @code{-@var{S}} switch, if that switch is given to GCC@.
37387 If that switch is not specified, this substitutes nothing. Note that
37388 the leading dash is omitted when specifying this option, and it is
37389 automatically inserted if the substitution is performed. Thus the spec
37390 string @samp{%@{foo@}} matches the command-line option @option{-foo}
37391 and outputs the command-line option @option{-foo}.
37393 @item %W@{@var{S}@}
37394 Like %@{@code{@var{S}}@} but mark last argument supplied within as a file to be
37395 deleted on failure.
37397 @item %@@@{@var{S}@}
37398 Like %@{@code{@var{S}}@} but puts the result into a @code{FILE} and substitutes
37399 @code{@@FILE} if an @code{@@file} argument has been supplied.
37401 @item %@{@var{S}*@}
37402 Substitutes all the switches specified to GCC whose names start
37403 with @code{-@var{S}}, but which also take an argument. This is used for
37404 switches like @option{-o}, @option{-D}, @option{-I}, etc.
37405 GCC considers @option{-o foo} as being
37406 one switch whose name starts with @samp{o}. %@{o*@} substitutes this
37407 text, including the space. Thus two arguments are generated.
37409 @item %@{@var{S}*&@var{T}*@}
37410 Like %@{@code{@var{S}}*@}, but preserve order of @code{@var{S}} and @code{@var{T}} options
37411 (the order of @code{@var{S}} and @code{@var{T}} in the spec is not significant).
37412 There can be any number of ampersand-separated variables; for each the
37413 wild card is optional. Useful for CPP as @samp{%@{D*&U*&A*@}}.
37415 @item %@{@var{S}:@var{X}@}
37416 Substitutes @code{@var{X}}, if the @option{-@var{S}} switch is given to GCC@.
37418 @item %@{!@var{S}:@var{X}@}
37419 Substitutes @code{@var{X}}, if the @option{-@var{S}} switch is @emph{not} given to GCC@.
37421 @item %@{@var{S}*:@var{X}@}
37422 Substitutes @code{@var{X}} if one or more switches whose names start with
37423 @code{-@var{S}} are specified to GCC@. Normally @code{@var{X}} is substituted only
37424 once, no matter how many such switches appeared. However, if @code{%*}
37425 appears somewhere in @code{@var{X}}, then @code{@var{X}} is substituted once
37426 for each matching switch, with the @code{%*} replaced by the part of
37427 that switch matching the @code{*}.
37429 If @code{%*} appears as the last part of a spec sequence then a space
37430 is added after the end of the last substitution. If there is more
37431 text in the sequence, however, then a space is not generated. This
37432 allows the @code{%*} substitution to be used as part of a larger
37433 string. For example, a spec string like this:
37436 %@{mcu=*:--script=%*/memory.ld@}
37440 when matching an option like @option{-mcu=newchip} produces:
37443 --script=newchip/memory.ld
37446 @item %@{.@var{S}:@var{X}@}
37447 Substitutes @code{@var{X}}, if processing a file with suffix @code{@var{S}}.
37449 @item %@{!.@var{S}:@var{X}@}
37450 Substitutes @code{@var{X}}, if @emph{not} processing a file with suffix @code{@var{S}}.
37452 @item %@{,@var{S}:@var{X}@}
37453 Substitutes @code{@var{X}}, if processing a file for language @code{@var{S}}.
37455 @item %@{!,@var{S}:@var{X}@}
37456 Substitutes @code{@var{X}}, if not processing a file for language @code{@var{S}}.
37458 @item %@{@var{S}|@var{P}:@var{X}@}
37459 Substitutes @code{@var{X}} if either @code{-@var{S}} or @code{-@var{P}} is given to
37460 GCC@. This may be combined with @samp{!}, @samp{.}, @samp{,}, and
37461 @code{*} sequences as well, although they have a stronger binding than
37462 the @samp{|}. If @code{%*} appears in @code{@var{X}}, all of the
37463 alternatives must be starred, and only the first matching alternative
37466 For example, a spec string like this:
37469 %@{.c:-foo@} %@{!.c:-bar@} %@{.c|d:-baz@} %@{!.c|d:-boggle@}
37473 outputs the following command-line options from the following input
37474 command-line options:
37479 -d fred.c -foo -baz -boggle
37480 -d jim.d -bar -baz -boggle
37483 @item %@{%:@var{function}(@var{args}):@var{X}@}
37485 Call function named @var{function} with args @var{args}. If the
37486 function returns non-NULL, then @code{@var{X}} is substituted, if it returns
37487 NULL, it isn't substituted.
37489 @item %@{@var{S}:@var{X}; @var{T}:@var{Y}; :@var{D}@}
37491 If @code{@var{S}} is given to GCC, substitutes @code{@var{X}}; else if @code{@var{T}} is
37492 given to GCC, substitutes @code{@var{Y}}; else substitutes @code{@var{D}}. There can
37493 be as many clauses as you need. This may be combined with @code{.},
37494 @code{,}, @code{!}, @code{|}, and @code{*} as needed.
37499 The switch matching text @code{@var{S}} in a @samp{%@{@var{S}@}}, @samp{%@{@var{S}:@var{X}@}}
37500 or similar construct can use a backslash to ignore the special meaning
37501 of the character following it, thus allowing literal matching of a
37502 character that is otherwise specially treated. For example,
37503 @samp{%@{std=iso9899\:1999:@var{X}@}} substitutes @code{@var{X}} if the
37504 @option{-std=iso9899:1999} option is given.
37506 The conditional text @code{@var{X}} in a @samp{%@{@var{S}:@var{X}@}} or similar
37507 construct may contain other nested @samp{%} constructs or spaces, or
37508 even newlines. They are processed as usual, as described above.
37509 Trailing white space in @code{@var{X}} is ignored. White space may also
37510 appear anywhere on the left side of the colon in these constructs,
37511 except between @code{.} or @code{*} and the corresponding word.
37513 The @option{-O}, @option{-f}, @option{-m}, and @option{-W} switches are
37514 handled specifically in these constructs. If another value of
37515 @option{-O} or the negated form of a @option{-f}, @option{-m}, or
37516 @option{-W} switch is found later in the command line, the earlier
37517 switch value is ignored, except with @{@code{@var{S}}*@} where @code{@var{S}} is
37518 just one letter, which passes all matching options.
37520 The character @samp{|} at the beginning of the predicate text is used to
37521 indicate that a command should be piped to the following command, but
37522 only if @option{-pipe} is specified.
37524 It is built into GCC which switches take arguments and which do not.
37525 (You might think it would be useful to generalize this to allow each
37526 compiler's spec to say which switches take arguments. But this cannot
37527 be done in a consistent fashion. GCC cannot even decide which input
37528 files have been specified without knowing which switches take arguments,
37529 and it must know which input files to compile in order to tell which
37532 GCC also knows implicitly that arguments starting in @option{-l} are to be
37533 treated as compiler output files, and passed to the linker in their
37534 proper position among the other output files.
37536 @node Environment Variables
37537 @section Environment Variables Affecting GCC
37538 @cindex environment variables
37540 @c man begin ENVIRONMENT
37541 This section describes several environment variables that affect how GCC
37542 operates. Some of them work by specifying directories or prefixes to use
37543 when searching for various kinds of files. Some are used to specify other
37544 aspects of the compilation environment.
37546 Note that you can also specify places to search using options such as
37547 @option{-B}, @option{-I} and @option{-L} (@pxref{Directory Options}). These
37548 take precedence over places specified using environment variables, which
37549 in turn take precedence over those specified by the configuration of GCC@.
37550 @xref{Driver,, Controlling the Compilation Driver @file{gcc}, gccint,
37551 GNU Compiler Collection (GCC) Internals}.
37556 @c @vindex LC_COLLATE
37557 @vindex LC_MESSAGES
37558 @c @vindex LC_MONETARY
37559 @c @vindex LC_NUMERIC
37565 @c @itemx LC_COLLATE
37567 @c @itemx LC_MONETARY
37568 @c @itemx LC_NUMERIC
37571 These environment variables control the way that GCC uses
37572 localization information which allows GCC to work with different
37573 national conventions. GCC inspects the locale categories
37574 @env{LC_CTYPE} and @env{LC_MESSAGES} if it has been configured to do
37575 so. These locale categories can be set to any value supported by your
37576 installation. A typical value is @samp{en_GB.UTF-8} for English in the United
37577 Kingdom encoded in UTF-8.
37579 The @env{LC_CTYPE} environment variable specifies character
37580 classification. GCC uses it to determine the character boundaries in
37581 a string; this is needed for some multibyte encodings that contain quote
37582 and escape characters that are otherwise interpreted as a string
37585 The @env{LC_MESSAGES} environment variable specifies the language to
37586 use in diagnostic messages.
37588 If the @env{LC_ALL} environment variable is set, it overrides the value
37589 of @env{LC_CTYPE} and @env{LC_MESSAGES}; otherwise, @env{LC_CTYPE}
37590 and @env{LC_MESSAGES} default to the value of the @env{LANG}
37591 environment variable. If none of these variables are set, GCC
37592 defaults to traditional C English behavior.
37596 If @env{TMPDIR} is set, it specifies the directory to use for temporary
37597 files. GCC uses temporary files to hold the output of one stage of
37598 compilation which is to be used as input to the next stage: for example,
37599 the output of the preprocessor, which is the input to the compiler
37602 @vindex GCC_COMPARE_DEBUG
37603 @item GCC_COMPARE_DEBUG
37604 Setting @env{GCC_COMPARE_DEBUG} is nearly equivalent to passing
37605 @option{-fcompare-debug} to the compiler driver. See the documentation
37606 of this option for more details.
37608 @vindex GCC_EXEC_PREFIX
37609 @item GCC_EXEC_PREFIX
37610 If @env{GCC_EXEC_PREFIX} is set, it specifies a prefix to use in the
37611 names of the subprograms executed by the compiler. No slash is added
37612 when this prefix is combined with the name of a subprogram, but you can
37613 specify a prefix that ends with a slash if you wish.
37615 If @env{GCC_EXEC_PREFIX} is not set, GCC attempts to figure out
37616 an appropriate prefix to use based on the pathname it is invoked with.
37618 If GCC cannot find the subprogram using the specified prefix, it
37619 tries looking in the usual places for the subprogram.
37621 The default value of @env{GCC_EXEC_PREFIX} is
37622 @file{@var{prefix}/lib/gcc/} where @var{prefix} is the prefix to
37623 the installed compiler. In many cases @var{prefix} is the value
37624 of @code{prefix} when you ran the @file{configure} script.
37626 Other prefixes specified with @option{-B} take precedence over this prefix.
37628 This prefix is also used for finding files such as @file{crt0.o} that are
37631 In addition, the prefix is used in an unusual way in finding the
37632 directories to search for header files. For each of the standard
37633 directories whose name normally begins with @samp{/usr/local/lib/gcc}
37634 (more precisely, with the value of @env{GCC_INCLUDE_DIR}), GCC tries
37635 replacing that beginning with the specified prefix to produce an
37636 alternate directory name. Thus, with @option{-Bfoo/}, GCC searches
37637 @file{foo/bar} just before it searches the standard directory
37638 @file{/usr/local/lib/bar}.
37639 If a standard directory begins with the configured
37640 @var{prefix} then the value of @var{prefix} is replaced by
37641 @env{GCC_EXEC_PREFIX} when looking for header files.
37643 @vindex COMPILER_PATH
37644 @item COMPILER_PATH
37645 The value of @env{COMPILER_PATH} is a colon-separated list of
37646 directories, much like @env{PATH}. GCC tries the directories thus
37647 specified when searching for subprograms, if it cannot find the
37648 subprograms using @env{GCC_EXEC_PREFIX}.
37650 @vindex LIBRARY_PATH
37652 The value of @env{LIBRARY_PATH} is a colon-separated list of
37653 directories, much like @env{PATH}. When configured as a native compiler,
37654 GCC tries the directories thus specified when searching for special
37655 linker files, if it cannot find them using @env{GCC_EXEC_PREFIX}. Linking
37656 using GCC also uses these directories when searching for ordinary
37657 libraries for the @option{-l} option (but directories specified with
37658 @option{-L} come first).
37661 @cindex locale definition
37663 This variable is used to pass locale information to the compiler. One way in
37664 which this information is used is to determine the character set to be used
37665 when character literals, string literals and comments are parsed in C and C++.
37666 When the compiler is configured to allow multibyte characters,
37667 the following values for @env{LANG} are recognized:
37671 Recognize JIS characters.
37673 Recognize SJIS characters.
37675 Recognize EUCJP characters.
37678 If @env{LANG} is not defined, or if it has some other value, then the
37679 compiler uses @code{mblen} and @code{mbtowc} as defined by the default locale to
37680 recognize and translate multibyte characters.
37682 @vindex GCC_EXTRA_DIAGNOSTIC_OUTPUT
37683 @item GCC_EXTRA_DIAGNOSTIC_OUTPUT
37684 If @env{GCC_EXTRA_DIAGNOSTIC_OUTPUT} is set to one of the following values,
37685 then additional text will be emitted to stderr when fix-it hints are
37686 emitted. @option{-fdiagnostics-parseable-fixits} and
37687 @option{-fno-diagnostics-parseable-fixits} take precedence over this
37688 environment variable.
37692 Emit parseable fix-it hints, equivalent to
37693 @option{-fdiagnostics-parseable-fixits}. In particular, columns are
37694 expressed as a count of bytes, starting at byte 1 for the initial column.
37697 As @code{fixits-v1}, but columns are expressed as display columns,
37698 as per @option{-fdiagnostics-column-unit=display}.
37704 Some additional environment variables affect the behavior of the
37707 @include cppenv.texi
37711 @node Precompiled Headers
37712 @section Using Precompiled Headers
37713 @cindex precompiled headers
37714 @cindex speed of compilation
37716 Often large projects have many header files that are included in every
37717 source file. The time the compiler takes to process these header files
37718 over and over again can account for nearly all of the time required to
37719 build the project. To make builds faster, GCC allows you to
37720 @dfn{precompile} a header file.
37722 To create a precompiled header file, simply compile it as you would any
37723 other file, if necessary using the @option{-x} option to make the driver
37724 treat it as a C or C++ header file. You may want to use a
37725 tool like @command{make} to keep the precompiled header up-to-date when
37726 the headers it contains change.
37728 A precompiled header file is searched for when @code{#include} is
37729 seen in the compilation. As it searches for the included file
37730 (@pxref{Search Path,,Search Path,cpp,The C Preprocessor}) the
37731 compiler looks for a precompiled header in each directory just before it
37732 looks for the include file in that directory. The name searched for is
37733 the name specified in the @code{#include} with @samp{.gch} appended. If
37734 the precompiled header file cannot be used, it is ignored.
37736 For instance, if you have @code{#include "all.h"}, and you have
37737 @file{all.h.gch} in the same directory as @file{all.h}, then the
37738 precompiled header file is used if possible, and the original
37739 header is used otherwise.
37741 Alternatively, you might decide to put the precompiled header file in a
37742 directory and use @option{-I} to ensure that directory is searched
37743 before (or instead of) the directory containing the original header.
37744 Then, if you want to check that the precompiled header file is always
37745 used, you can put a file of the same name as the original header in this
37746 directory containing an @code{#error} command.
37748 This also works with @option{-include}. So yet another way to use
37749 precompiled headers, good for projects not designed with precompiled
37750 header files in mind, is to simply take most of the header files used by
37751 a project, include them from another header file, precompile that header
37752 file, and @option{-include} the precompiled header. If the header files
37753 have guards against multiple inclusion, they are skipped because
37754 they've already been included (in the precompiled header).
37756 If you need to precompile the same header file for different
37757 languages, targets, or compiler options, you can instead make a
37758 @emph{directory} named like @file{all.h.gch}, and put each precompiled
37759 header in the directory, perhaps using @option{-o}. It doesn't matter
37760 what you call the files in the directory; every precompiled header in
37761 the directory is considered. The first precompiled header
37762 encountered in the directory that is valid for this compilation is
37763 used; they're searched in no particular order.
37765 There are many other possibilities, limited only by your imagination,
37766 good sense, and the constraints of your build system.
37768 A precompiled header file can be used only when these conditions apply:
37772 Only one precompiled header can be used in a particular compilation.
37775 A precompiled header cannot be used once the first C token is seen. You
37776 can have preprocessor directives before a precompiled header; you cannot
37777 include a precompiled header from inside another header.
37780 The precompiled header file must be produced for the same language as
37781 the current compilation. You cannot use a C precompiled header for a C++
37785 The precompiled header file must have been produced by the same compiler
37786 binary as the current compilation is using.
37789 Any macros defined before the precompiled header is included must
37790 either be defined in the same way as when the precompiled header was
37791 generated, or must not affect the precompiled header, which usually
37792 means that they don't appear in the precompiled header at all.
37794 The @option{-D} option is one way to define a macro before a
37795 precompiled header is included; using a @code{#define} can also do it.
37796 There are also some options that define macros implicitly, like
37797 @option{-O} and @option{-Wdeprecated}; the same rule applies to macros
37800 @item If debugging information is output when using the precompiled
37801 header, using @option{-g} or similar, the same kind of debugging information
37802 must have been output when building the precompiled header. However,
37803 a precompiled header built using @option{-g} can be used in a compilation
37804 when no debugging information is being output.
37806 @item The same @option{-m} options must generally be used when building
37807 and using the precompiled header. @xref{Submodel Options},
37808 for any cases where this rule is relaxed.
37810 @item Each of the following options must be the same when building and using
37811 the precompiled header:
37813 @gccoptlist{-fexceptions}
37816 Some other command-line options starting with @option{-f},
37817 @option{-p}, or @option{-O} must be defined in the same way as when
37818 the precompiled header was generated. At present, it's not clear
37819 which options are safe to change and which are not; the safest choice
37820 is to use exactly the same options when generating and using the
37821 precompiled header. The following are known to be safe:
37823 @gccoptlist{-fmessage-length= -fpreprocessed -fsched-interblock
37824 -fsched-spec -fsched-spec-load -fsched-spec-load-dangerous
37825 -fsched-verbose=@var{number} -fschedule-insns -fvisibility=
37828 @item Address space layout randomization (ASLR) can lead to not binary identical
37829 PCH files. If you rely on stable PCH file contents disable ASLR when generating
37834 For all of these except the last, the compiler automatically
37835 ignores the precompiled header if the conditions aren't met. If you
37836 find an option combination that doesn't work and doesn't cause the
37837 precompiled header to be ignored, please consider filing a bug report,
37840 If you do use differing options when generating and using the
37841 precompiled header, the actual behavior is a mixture of the
37842 behavior for the options. For instance, if you use @option{-g} to
37843 generate the precompiled header but not when using it, you may or may
37844 not get debugging information for routines in the precompiled header.
37847 @section C++ Modules
37848 @cindex speed of compilation
37850 Modules are a C++20 language feature. As the name suggests, they
37851 provide a modular compilation system, intending to provide both
37852 faster builds and better library isolation. The ``Merging Modules''
37853 paper @uref{https://wg21.link/p1103}, provides the easiest to read set
37854 of changes to the standard, although it does not capture later
37857 @emph{G++'s modules support is not complete.} Other than bugs, the
37858 known missing pieces are:
37862 @item Private Module Fragment
37863 The Private Module Fragment is recognized, but an error is emitted.
37865 @item Partition definition visibility rules
37866 Entities may be defined in implementation partitions, and those
37867 definitions are not available outside of the module. This is not
37868 implemented, and the definitions are available to extra-module use.
37870 @item Textual merging of reachable GM entities
37871 Entities may be multiply defined across different header-units.
37872 These must be de-duplicated, and this is implemented across imports,
37873 or when an import redefines a textually-defined entity. However the
37874 reverse is not implemented---textually redefining an entity that has
37875 been defined in an imported header-unit. A redefinition error is
37878 @item Translation-Unit local referencing rules
37879 Papers p1815 (@uref{https://wg21.link/p1815}) and p2003
37880 (@uref{https://wg21.link/p2003}) add limitations on which entities an
37881 exported region may reference (for instance, the entities an exported
37882 template definition may reference). These are not fully implemented.
37884 @item Standard Library Header Units
37885 The Standard Library is not provided as importable header units. If
37886 you want to import such units, you must explicitly build them first.
37887 If you do not do this with care, you may have multiple declarations,
37888 which the module machinery must merge---compiler resource usage can be
37889 affected by how you partition header files into header units.
37893 Modular compilation is @emph{not} enabled with just the
37894 @option{-std=c++20} option. You must explicitly enable it with the
37895 @option{-fmodules-ts} option. It is independent of the language
37896 version selected, although in pre-C++20 versions, it is of course an
37899 No new source file suffixes are required. A few suffixes preferred
37900 for module interface units by other compilers (e.g. @samp{.ixx},
37901 @samp{.cppm}) are supported, but files with these suffixes are treated
37902 the same as any other C++ source file.
37904 Compiling a module interface unit produces an additional output (to
37905 the assembly or object file), called a Compiled Module Interface
37906 (CMI). This encodes the exported declarations of the module.
37907 Importing a module reads in the CMI. The import graph is a Directed
37908 Acyclic Graph (DAG). You must build imports before the importer.
37910 Header files may themselves be compiled to header units, which are a
37911 transitional ability aiming at faster compilation. The
37912 @option{-fmodule-header} option is used to enable this, and implies
37913 the @option{-fmodules-ts} option. These CMIs are named by the fully
37914 resolved underlying header file, and thus may be a complete pathname
37915 containing subdirectories. If the header file is found at an absolute
37916 pathname, the CMI location is still relative to a CMI root directory.
37918 As header files often have no suffix, you commonly have to specify a
37919 @option{-x} option to tell the compiler the source is a header file.
37920 You may use @option{-x c++-header}, @option{-x c++-user-header} or
37921 @option{-x c++-system-header}. When used in conjunction with
37922 @option{-fmodules-ts}, these all imply an appropriate
37923 @option{-fmodule-header} option. The latter two variants use the
37924 user or system include path to search for the file specified. This
37925 allows you to, for instance, compile standard library header files as
37926 header units, without needing to know exactly where they are
37927 installed. Specifying the language as one of these variants also
37928 inhibits output of the object file, as header files have no associated
37931 Header units can be used in much the same way as precompiled headers
37932 (@pxref{Precompiled Headers}), but with fewer restrictions: an
37933 #include that is translated to a header unit import can appear at any
37934 point in the source file, and multiple header units can be used
37935 together. In particular, the @option{-include} strategy works: with
37936 the bits/stdc++.h header used for libstdc++ precompiled headers you
37940 g++ -fmodules-ts -x c++-system-header -c bits/stdc++.h
37941 g++ -fmodules-ts -include bits/stdc++.h mycode.C
37944 and any standard library #includes in mycode.C will be skipped,
37945 because the import brought in the whole library. This can be a simple
37946 way to use modules to speed up compilation without any code changes.
37948 The @option{-fmodule-only} option disables generation of the
37949 associated object file for compiling a module interface. Only the CMI
37950 is generated. This option is implied when using the
37951 @option{-fmodule-header} option.
37953 The @option{-flang-info-include-translate} and
37954 @option{-flang-info-include-translate-not} options notes whether
37955 include translation occurs or not. With no argument, the first will
37956 note all include translation. The second will note all
37957 non-translations of include files not known to intentionally be
37958 textual. With an argument, queries about include translation of a
37959 header files with that particular trailing pathname are noted. You
37960 may repeat this form to cover several different header files. This
37961 option may be helpful in determining whether include translation is
37962 happening---if it is working correctly, it behaves as if it isn't
37965 The @option{-flang-info-module-cmi} option can be used to determine
37966 where the compiler is reading a CMI from. Without the option, the
37967 compiler is silent when such a read is successful. This option has an
37968 optional argument, which will restrict the notification to just the
37969 set of named modules or header units specified.
37971 The @option{-Winvalid-imported-macros} option causes all imported macros
37972 to be resolved at the end of compilation. Without this, imported
37973 macros are only resolved when expanded or (re)defined. This option
37974 detects conflicting import definitions for all macros.
37976 For details of the @option{-fmodule-mapper} family of options,
37977 @pxref{C++ Module Mapper}.
37980 * C++ Module Mapper:: Module Mapper
37981 * C++ Module Preprocessing:: Module Preprocessing
37982 * C++ Compiled Module Interface:: Compiled Module Interface
37985 @node C++ Module Mapper
37986 @subsection Module Mapper
37987 @cindex C++ Module Mapper
37989 A module mapper provides a server or file that the compiler queries to
37990 determine the mapping between module names and CMI files. It is also
37991 used to build CMIs on demand. @emph{Mapper functionality is in its
37992 infancy and is intended for experimentation with build system
37995 You can specify a mapper with the @option{-fmodule-mapper=@var{val}}
37996 option or @env{CXX_MODULE_MAPPER} environment variable. The value may
37997 have one of the following forms:
38001 @item @r{[}@var{hostname}@r{]}:@var{port}@r{[}?@var{ident}@r{]}
38002 An optional hostname and a numeric port number to connect to. If the
38003 hostname is omitted, the loopback address is used. If the hostname
38004 corresponds to multiple IPV6 addresses, these are tried in turn, until
38005 one is successful. If your host lacks IPv6, this form is
38006 non-functional. If you must use IPv4 use
38007 @option{-fmodule-mapper='|ncat @var{ipv4host} @var{port}'}.
38009 @item =@var{socket}@r{[}?@var{ident}@r{]}
38010 A local domain socket. If your host lacks local domain sockets, this
38011 form is non-functional.
38013 @item |@var{program}@r{[}?@var{ident}@r{]} @r{[}@var{args...}@r{]}
38014 A program to spawn, and communicate with on its stdin/stdout streams.
38015 Your @var{PATH} environment variable is searched for the program.
38016 Arguments are separated by space characters, (it is not possible for
38017 one of the arguments delivered to the program to contain a space). An
38018 exception is if @var{program} begins with @@. In that case
38019 @var{program} (sans @@) is looked for in the compiler's internal
38020 binary directory. Thus the sample mapper-server can be specified
38021 with @code{@@g++-mapper-server}.
38023 @item <>@r{[}?@var{ident}@r{]}
38024 @item <>@var{inout}@r{[}?@var{ident}@r{]}
38025 @item <@var{in}>@var{out}@r{[}?@var{ident}@r{]}
38026 Named pipes or file descriptors to communicate over. The first form,
38027 @option{<>}, communicates over stdin and stdout. The other forms
38028 allow you to specify a file descriptor or name a pipe. A numeric value
38029 is interpreted as a file descriptor, otherwise named pipe is opened.
38030 The second form specifies a bidirectional pipe and the last form
38031 allows specifying two independent pipes. Using file descriptors
38032 directly in this manner is fragile in general, as it can require the
38033 cooperation of intermediate processes. In particular using stdin &
38034 stdout is fraught with danger as other compiler options might also
38035 cause the compiler to read stdin or write stdout, and it can have
38036 unfortunate interactions with signal delivery from the terminal.
38038 @item @var{file}@r{[}?@var{ident}@r{]}
38039 A mapping file consisting of space-separated module-name, filename
38040 pairs, one per line. Only the mappings for the direct imports and any
38041 module export name need be provided. If other mappings are provided,
38042 they override those stored in any imported CMI files. A repository
38043 root may be specified in the mapping file by using @samp{$root} as the
38044 module name in the first active line. Use of this option will disable
38045 any default module->CMI name mapping.
38049 As shown, an optional @var{ident} may suffix the first word of the
38050 option, indicated by a @samp{?} prefix. The value is used in the
38051 initial handshake with the module server, or to specify a prefix on
38052 mapping file lines. In the server case, the main source file name is
38053 used if no @var{ident} is specified. In the file case, all non-blank
38054 lines are significant, unless a value is specified, in which case only
38055 lines beginning with @var{ident} are significant. The @var{ident}
38056 must be separated by whitespace from the module name. Be aware that
38057 @samp{<}, @samp{>}, @samp{?}, and @samp{|} characters are often
38058 significant to the shell, and therefore may need quoting.
38060 The mapper is connected to or loaded lazily, when the first module
38061 mapping is required. The networking protocols are only supported on
38062 hosts that provide networking. If no mapper is specified a default is
38065 A project-specific mapper is expected to be provided by the build
38066 system that invokes the compiler. It is not expected that a
38067 general-purpose server is provided for all compilations. As such, the
38068 server will know the build configuration, the compiler it invoked, and
38069 the environment (such as working directory) in which that is
38070 operating. As it may parallelize builds, several compilations may
38071 connect to the same socket.
38073 The default mapper generates CMI files in a @samp{gcm.cache}
38074 directory. CMI files have a @samp{.gcm} suffix. The module unit name
38075 is used directly to provide the basename. Header units construct a
38076 relative path using the underlying header file name. If the path is
38077 already relative, a @samp{,} directory is prepended. Internal
38078 @samp{..} components are translated to @samp{,,}. No attempt is made
38079 to canonicalize these filenames beyond that done by the preprocessor's
38080 include search algorithm, as in general it is ambiguous when symbolic
38083 The mapper protocol was published as ``A Module Mapper''
38084 @uref{https://wg21.link/p1184}. The implementation is provided by
38085 @command{libcody}, @uref{https://github.com/urnathan/libcody},
38086 which specifies the canonical protocol definition. A proof of concept
38087 server implementation embedded in @command{make} was described in
38088 ''Make Me A Module'', @uref{https://wg21.link/p1602}.
38090 @node C++ Module Preprocessing
38091 @subsection Module Preprocessing
38092 @cindex C++ Module Preprocessing
38094 Modules affect preprocessing because of header units and include
38095 translation. Some uses of the preprocessor as a separate step either
38096 do not produce a correct output, or require CMIs to be available.
38098 Header units import macros. These macros can affect later conditional
38099 inclusion, which therefore can cascade to differing import sets. When
38100 preprocessing, it is necessary to load the CMI. If a header unit is
38101 unavailable, the preprocessor issues a warning and continue (when
38102 not just preprocessing, an error is emitted). Detecting such imports
38103 requires preprocessor tokenization of the input stream to phase 4
38106 Include translation converts @code{#include}, @code{#include_next} and
38107 @code{#import} directives to internal @code{import} declarations.
38108 Whether a particular directive is translated is controlled by the
38109 module mapper. Header unit names are canonicalized during
38112 Dependency information can be emitted for module import, extending the
38113 functionality of the various @option{-M} options. Detection of import
38114 declarations requires phase 4 handling of preprocessor directives, but
38115 does not require macro expansion, so it is not necessary to use
38116 @option{-MD}. See also @option{-fdeps-*} for an alternate format for
38117 module dependency information.
38119 The @option{-save-temps} option uses @option{-fdirectives-only} for
38120 preprocessing, and preserve the macro definitions in the preprocessed
38121 output. Usually you also want to use this option when explicitly
38122 preprocessing a header-unit, or consuming such preprocessed output:
38125 g++ -fmodules-ts -E -fdirectives-only my-header.hh -o my-header.ii
38126 g++ -x c++-header -fmodules-ts -fpreprocessed -fdirectives-only my-header.ii
38129 @node C++ Compiled Module Interface
38130 @subsection Compiled Module Interface
38131 @cindex C++ Compiled Module Interface
38133 CMIs are an additional artifact when compiling named module
38134 interfaces, partitions or header units. These are read when
38135 importing. CMI contents are implementation-specific, and in GCC's
38136 case tied to the compiler version. Consider them a rebuildable cache
38137 artifact, not a distributable object.
38139 When creating an output CMI, any missing directory components are
38140 created in a manner that is safe for concurrent builds creating
38141 multiple, different, CMIs within a common subdirectory tree.
38143 CMI contents are written to a temporary file, which is then atomically
38144 renamed. Observers either see old contents (if there is an
38145 existing file), or complete new contents. They do not observe the
38146 CMI during its creation. This is unlike object file writing, which
38147 may be observed by an external process.
38149 CMIs are read in lazily, if the host OS provides @code{mmap}
38150 functionality. Generally blocks are read when name lookup or template
38151 instantiation occurs. To inhibit this, the @option{-fno-module-lazy}
38152 option may be used.
38154 The @option{--param lazy-modules=@var{n}} parameter controls the limit
38155 on the number of concurrently open module files during lazy loading.
38156 Should more modules be imported, an LRU algorithm is used to determine
38157 which files to close---until that file is needed again. This limit
38158 may be exceeded with deep module dependency hierarchies. With large
38159 code bases there may be more imports than the process limit of file
38160 descriptors. By default, the limit is a few less than the per-process
38161 file descriptor hard limit, if that is determinable.@footnote{Where
38162 applicable the soft limit is incremented as needed towards the hard limit.}
38164 GCC CMIs use ELF32 as an architecture-neutral encapsulation mechanism.
38165 You may use @command{readelf} to inspect them, although section
38166 contents are largely undecipherable. There is a section named
38167 @code{.gnu.c++.README}, which contains human-readable text. Other
38168 than the first line, each line consists of @code{@var{tag}: @code{value}}
38172 > @command{readelf -p.gnu.c++.README gcm.cache/foo.gcm}
38174 String dump of section '.gnu.c++.README':
38175 [ 0] GNU C++ primary module interface
38176 [ 21] compiler: 11.0.0 20201116 (experimental) [c++-modules revision 20201116-0454]
38177 [ 6f] version: 2020/11/16-04:54
38179 [ 95] source: c_b.ii
38180 [ a4] dialect: C++20/coroutines
38181 [ be] cwd: /data/users/nathans/modules/obj/x86_64/gcc
38182 [ ee] repository: gcm.cache
38183 [ 104] buildtime: 2020/11/16 15:03:21 UTC
38184 [ 127] localtime: 2020/11/16 07:03:21 PST
38185 [ 14a] export: foo:part1 foo-part1.gcm
38188 Amongst other things, this lists the source that was built, C++
38189 dialect used and imports of the module.@footnote{The precise contents
38190 of this output may change.} The timestamp is the same value as that
38191 provided by the @code{__DATE__} & @code{__TIME__} macros, and may be
38192 explicitly specified with the environment variable
38193 @code{SOURCE_DATE_EPOCH}. For further details
38194 @pxref{Environment Variables}.
38196 A set of related CMIs may be copied, provided the relative pathnames
38199 The @code{.gnu.c++.README} contents do not affect CMI integrity, and
38200 it may be removed or altered. The section numbering of the sections
38201 whose names do not begin with @code{.gnu.c++.}, or are not the string
38202 section is significant and must not be altered.