gen: fix reg_fortmp() indentation