added 2.6.29.6 aldebaran kernel
[nao-ulib.git] / kernel / 2.6.29.6-aldebaran-rt / drivers / ide / gayle.c
blob59bd0be9dcb3aac5715daf3ed0dec8f8b48b1c94
1 /*
2 * Amiga Gayle IDE Driver
4 * Created 9 Jul 1997 by Geert Uytterhoeven
6 * This file is subject to the terms and conditions of the GNU General Public
7 * License. See the file COPYING in the main directory of this archive for
8 * more details.
9 */
11 #include <linux/types.h>
12 #include <linux/mm.h>
13 #include <linux/interrupt.h>
14 #include <linux/blkdev.h>
15 #include <linux/ide.h>
16 #include <linux/init.h>
17 #include <linux/zorro.h>
18 #include <linux/module.h>
20 #include <asm/setup.h>
21 #include <asm/amigahw.h>
22 #include <asm/amigaints.h>
23 #include <asm/amigayle.h>
27 * Bases of the IDE interfaces
30 #define GAYLE_BASE_4000 0xdd2020 /* A4000/A4000T */
31 #define GAYLE_BASE_1200 0xda0000 /* A1200/A600 and E-Matrix 530 */
33 #define GAYLE_IDEREG_SIZE 0x2000
36 * Offsets from one of the above bases
39 #define GAYLE_CONTROL 0x101a
42 * These are at different offsets from the base
45 #define GAYLE_IRQ_4000 0xdd3020 /* MSB = 1, Harddisk is source of */
46 #define GAYLE_IRQ_1200 0xda9000 /* interrupt */
50 * Offset of the secondary port for IDE doublers
51 * Note that GAYLE_CONTROL is NOT available then!
54 #define GAYLE_NEXT_PORT 0x1000
56 #ifndef CONFIG_BLK_DEV_IDEDOUBLER
57 #define GAYLE_NUM_HWIFS 1
58 #define GAYLE_NUM_PROBE_HWIFS GAYLE_NUM_HWIFS
59 #define GAYLE_HAS_CONTROL_REG 1
60 #else /* CONFIG_BLK_DEV_IDEDOUBLER */
61 #define GAYLE_NUM_HWIFS 2
62 #define GAYLE_NUM_PROBE_HWIFS (ide_doubler ? GAYLE_NUM_HWIFS : \
63 GAYLE_NUM_HWIFS-1)
64 #define GAYLE_HAS_CONTROL_REG (!ide_doubler)
66 static int ide_doubler;
67 module_param_named(doubler, ide_doubler, bool, 0);
68 MODULE_PARM_DESC(doubler, "enable support for IDE doublers");
69 #endif /* CONFIG_BLK_DEV_IDEDOUBLER */
73 * Check and acknowledge the interrupt status
76 static int gayle_ack_intr_a4000(ide_hwif_t *hwif)
78 unsigned char ch;
80 ch = z_readb(hwif->io_ports.irq_addr);
81 if (!(ch & GAYLE_IRQ_IDE))
82 return 0;
83 return 1;
86 static int gayle_ack_intr_a1200(ide_hwif_t *hwif)
88 unsigned char ch;
90 ch = z_readb(hwif->io_ports.irq_addr);
91 if (!(ch & GAYLE_IRQ_IDE))
92 return 0;
93 (void)z_readb(hwif->io_ports.status_addr);
94 z_writeb(0x7c, hwif->io_ports.irq_addr);
95 return 1;
98 static void __init gayle_setup_ports(hw_regs_t *hw, unsigned long base,
99 unsigned long ctl, unsigned long irq_port,
100 ide_ack_intr_t *ack_intr)
102 int i;
104 memset(hw, 0, sizeof(*hw));
106 hw->io_ports.data_addr = base;
108 for (i = 1; i < 8; i++)
109 hw->io_ports_array[i] = base + 2 + i * 4;
111 hw->io_ports.ctl_addr = ctl;
112 hw->io_ports.irq_addr = irq_port;
114 hw->irq = IRQ_AMIGA_PORTS;
115 hw->ack_intr = ack_intr;
117 hw->chipset = ide_generic;
120 static const struct ide_port_info gayle_port_info = {
121 .host_flags = IDE_HFLAG_SERIALIZE | IDE_HFLAG_NO_DMA,
125 * Probe for a Gayle IDE interface (and optionally for an IDE doubler)
128 static int __init gayle_init(void)
130 unsigned long phys_base, res_start, res_n;
131 unsigned long base, ctrlport, irqport;
132 ide_ack_intr_t *ack_intr;
133 int a4000, i, rc;
134 hw_regs_t hw[GAYLE_NUM_HWIFS], *hws[] = { NULL, NULL, NULL, NULL };
136 if (!MACH_IS_AMIGA)
137 return -ENODEV;
139 if ((a4000 = AMIGAHW_PRESENT(A4000_IDE)) || AMIGAHW_PRESENT(A1200_IDE))
140 goto found;
142 #ifdef CONFIG_ZORRO
143 if (zorro_find_device(ZORRO_PROD_MTEC_VIPER_MK_V_E_MATRIX_530_SCSI_IDE,
144 NULL))
145 goto found;
146 #endif
147 return -ENODEV;
149 found:
150 printk(KERN_INFO "ide: Gayle IDE controller (A%d style%s)\n",
151 a4000 ? 4000 : 1200,
152 #ifdef CONFIG_BLK_DEV_IDEDOUBLER
153 ide_doubler ? ", IDE doubler" :
154 #endif
155 "");
157 if (a4000) {
158 phys_base = GAYLE_BASE_4000;
159 irqport = (unsigned long)ZTWO_VADDR(GAYLE_IRQ_4000);
160 ack_intr = gayle_ack_intr_a4000;
161 } else {
162 phys_base = GAYLE_BASE_1200;
163 irqport = (unsigned long)ZTWO_VADDR(GAYLE_IRQ_1200);
164 ack_intr = gayle_ack_intr_a1200;
167 * FIXME: we now have selectable modes between mmio v/s iomio
170 res_start = ((unsigned long)phys_base) & ~(GAYLE_NEXT_PORT-1);
171 res_n = GAYLE_IDEREG_SIZE;
173 if (!request_mem_region(res_start, res_n, "IDE"))
174 return -EBUSY;
176 for (i = 0; i < GAYLE_NUM_PROBE_HWIFS; i++) {
177 base = (unsigned long)ZTWO_VADDR(phys_base + i * GAYLE_NEXT_PORT);
178 ctrlport = GAYLE_HAS_CONTROL_REG ? (base + GAYLE_CONTROL) : 0;
180 gayle_setup_ports(&hw[i], base, ctrlport, irqport, ack_intr);
182 hws[i] = &hw[i];
185 rc = ide_host_add(&gayle_port_info, hws, NULL);
186 if (rc)
187 release_mem_region(res_start, res_n);
189 return rc;
192 module_init(gayle_init);
194 MODULE_LICENSE("GPL");