Merge branch '4549_subshell_execl_argv0'
[midnight-commander.git] / misc / syntax / verilog.syntax
blobc2fa8cc9dc9f6c7d6890e62524ecf9c2f0ee1cb4
1 # This is Cooledit syntax-file for Verilog and SystemVerilog
2 # Created by Andres Farfan, <nafraf@linuxmail.org>
3 # Updated by Andrei Purdea, <andrei@purdea.ro>
4 # Feel free to copy & modify this.
5 # 09/2004
7 wholechars abcdefghijklmnopqrstuvwxyzABCDEFGHIJKLMNOPQRSTUVWXYZ_
9 # default colors
10 context default
13 #Compiler directives
14     keyword  whole  `__FILE__ brightred/
15     keyword  whole  `__LINE__ brightred/
16     keyword  whole  `begin_keywords brightred/
17     keyword  whole  `celldefine brightred/
18     keyword  whole  `default_nettype brightred/
19     keyword  whole  `define brightred/
20     keyword  whole  `else brightred/
21     keyword  whole  `elsif brightred/
22     keyword  whole  `end_keywords brightred/
23     keyword  whole  `endcelldefine brightred/
24     keyword  whole  `endif brightred/
25     keyword  whole  `ifdef brightred/
26     keyword  whole  `ifndef brightred/
27     keyword  whole  `include brightred/
28     keyword  whole  `line brightred/
29     keyword  whole  `nounconnected_drive brightred/
30     keyword  whole  `pragma brightred/
31     keyword  whole  `resetall brightred/
32     keyword  whole  `timescale brightred/
33     keyword  whole  `unconnected_drive brightred/
34     keyword  whole  `undef brightred/
35     keyword  whole  `undefineall brightred/
37 #Other -- these are not defined in the verilog standards, may be vendor-specific:
38     keyword  whole  `accelerate brightred/
39     keyword  whole  `autoexpand_vectornets brightred/
40     keyword  whole  `endprotect brightred/
41     keyword  whole  `endprotected brightred/
42     keyword  whole  `expand_vectornets brightred/
43     keyword  whole  `noaccelerate brightred/
44     keyword  whole  `noexpand_vectornets brightred/
45     keyword  whole  `noremove_gatenames brightred/
46     keyword  whole  `noremove_netnames brightred/
47     keyword  whole  `protect brightred/
48     keyword  whole  `protected brightred/
49     keyword  whole  `remove_gatenames brightred/
50     keyword  whole  `remove_netnames brightred/
51     keyword  whole  `signed brightred/
52     keyword  whole  `unsigned brightred/
54 #Reserved Keywords IEEE1364-1995
55     keyword  whole  always yellow
56     keyword  whole  and yellow
57     keyword  whole  assign yellow
58     keyword  whole  begin yellow
59     keyword  whole  buf yellow
60     keyword  whole  bufif0 yellow
61     keyword  whole  bufif1 yellow
62     keyword  whole  case yellow
63     keyword  whole  casex yellow
64     keyword  whole  casez yellow
65     keyword  whole  cmos yellow
66     keyword  whole  deassign yellow
67     keyword  whole  default yellow
68     keyword  whole  defparam yellow
69     keyword  whole  disable yellow
70     keyword  whole  edge yellow
71     keyword  whole  else yellow
72     keyword  whole  end yellow
73     keyword  whole  endcase yellow
74     keyword  whole  endmodule yellow
75     keyword  whole  endfunction yellow
76     keyword  whole  endprimitive yellow
77     keyword  whole  endspecify yellow
78     keyword  whole  endtable yellow
79     keyword  whole  endtask yellow
80     keyword  whole  event yellow
81     keyword  whole  for yellow
82     keyword  whole  force yellow
83     keyword  whole  forever yellow
84     keyword  whole  fork yellow
85     keyword  whole  function yellow
86     keyword  whole  highz0 yellow
87     keyword  whole  highz1 yellow
88     keyword  whole  if yellow
89     keyword  whole  ifnone yellow
90     keyword  whole  initial yellow
91     keyword  whole  inout yellow
92     keyword  whole  input yellow
93     keyword  whole  integer yellow
94     keyword  whole  join yellow
95     keyword  whole  large yellow
96     keyword  whole  macromodule yellow
97     keyword  whole  medium yellow
98     keyword  whole  module yellow
99     keyword  whole  nand yellow
100     keyword  whole  negedge yellow
101     keyword  whole  nmos yellow
102     keyword  whole  nor yellow
103     keyword  whole  not yellow
104     keyword  whole  notif0 yellow
105     keyword  whole  notif1 yellow
106     keyword  whole  or yellow
107     keyword  whole  output yellow
108     keyword  whole  parameter yellow
109     keyword  whole  pmos yellow
110     keyword  whole  posedge yellow
111     keyword  whole  primitive yellow
112     keyword  whole  pull0 yellow
113     keyword  whole  pull1 yellow
114     keyword  whole  pullup yellow
115     keyword  whole  pulldown yellow
116     keyword  whole  rcmos yellow
117     keyword  whole  real yellow
118     keyword  whole  realtime yellow
119     keyword  whole  reg yellow
120     keyword  whole  release yellow
121     keyword  whole  repeat yellow
122     keyword  whole  rnmos yellow
123     keyword  whole  rpmos yellow
124     keyword  whole  rtran yellow
125     keyword  whole  rtranif0 yellow
126     keyword  whole  rtranif1 yellow
127     keyword  whole  scalared yellow
128     keyword  whole  small yellow
129     keyword  whole  specify yellow
130     keyword  whole  specparam yellow
131     keyword  whole  strong0 yellow
132     keyword  whole  strong1 yellow
133     keyword  whole  supply0 yellow
134     keyword  whole  supply1 yellow
135     keyword  whole  table yellow
136     keyword  whole  task yellow
137     keyword  whole  time yellow
138     keyword  whole  tran yellow
139     keyword  whole  tranif0 yellow
140     keyword  whole  tranif1 yellow
141     keyword  whole  tri yellow
142     keyword  whole  tri0 yellow
143     keyword  whole  tri1 yellow
144     keyword  whole  triand yellow
145     keyword  whole  trior yellow
146     keyword  whole  trireg yellow
147     keyword  whole  vectored yellow
148     keyword  whole  wait yellow
149     keyword  whole  wand yellow
150     keyword  whole  weak0 yellow
151     keyword  whole  weak1 yellow
152     keyword  whole  while yellow
153     keyword  whole  wire yellow
154     keyword  whole  wor yellow
155     keyword  whole  xnor yellow
156     keyword  whole  xor yellow
158 #Reserved Keywords IEEE1364-2001
159     keyword  whole  automatic yellow
160     keyword  whole  cell yellow
161     keyword  whole  config yellow
162     keyword  whole  design yellow
163     keyword  whole  endconfig yellow
164     keyword  whole  endgenerate yellow
165     keyword  whole  generate yellow
166     keyword  whole  genvar yellow
167     keyword  whole  incdir yellow
168     keyword  whole  include yellow
169     keyword  whole  instance yellow
170     keyword  whole  liblist yellow
171     keyword  whole  library yellow
172     keyword  whole  localparam yellow
173     keyword  whole  noshowcancelled yellow
174     keyword  whole  pulsestyle_ondetect yellow
175     keyword  whole  pulsestyle_onevent yellow
176     keyword  whole  showcancelled yellow
177     keyword  whole  signed yellow
178     keyword  whole  unsigned yellow
179     keyword  whole  use yellow
181 #Reserved Keywords IEEE1364-2005
182     keyword  whole  uwire yellow
184 #Reserved Keywords IEEE1800-2005
185     keyword  whole  alias yellow
186     keyword  whole  always_comb yellow
187     keyword  whole  always_ff yellow
188     keyword  whole  always_latch yellow
189     keyword  whole  assert yellow
190     keyword  whole  assume yellow
191     keyword  whole  before yellow
192     keyword  whole  bind yellow
193     keyword  whole  bins yellow
194     keyword  whole  binsof yellow
195     keyword  whole  bit yellow
196     keyword  whole  break yellow
197     keyword  whole  byte yellow
198     keyword  whole  chandle yellow
199     keyword  whole  class yellow
200     keyword  whole  clocking yellow
201     keyword  whole  const yellow
202     keyword  whole  constraint yellow
203     keyword  whole  context yellow
204     keyword  whole  continue yellow
205     keyword  whole  cover yellow
206     keyword  whole  covergroup yellow
207     keyword  whole  coverpoint yellow
208     keyword  whole  cross yellow
209     keyword  whole  dist yellow
210     keyword  whole  do yellow
211     keyword  whole  endclass yellow
212     keyword  whole  endclocking yellow
213     keyword  whole  endgroup yellow
214     keyword  whole  endinterface yellow
215     keyword  whole  endpackage yellow
216     keyword  whole  endprogram yellow
217     keyword  whole  endproperty yellow
218     keyword  whole  endsequence yellow
219     keyword  whole  enum yellow
220     keyword  whole  expect yellow
221     keyword  whole  export yellow
222     keyword  whole  extends yellow
223     keyword  whole  extern yellow
224     keyword  whole  final yellow
225     keyword  whole  first_match yellow
226     keyword  whole  foreach yellow
227     keyword  whole  forkjoin yellow
228     keyword  whole  iff yellow
229     keyword  whole  ignore_bins yellow
230     keyword  whole  illegal_bins yellow
231     keyword  whole  import yellow
232     keyword  whole  inside yellow
233     keyword  whole  int yellow
234     keyword  whole  interface yellow
235     keyword  whole  intersect yellow
236     keyword  whole  join_any yellow
237     keyword  whole  join_none yellow
238     keyword  whole  local yellow
239     keyword  whole  logic yellow
240     keyword  whole  longint yellow
241     keyword  whole  matches yellow
242     keyword  whole  modport yellow
243     keyword  whole  new yellow
244     keyword  whole  null yellow
245     keyword  whole  package yellow
246     keyword  whole  packed yellow
247     keyword  whole  priority yellow
248     keyword  whole  program yellow
249     keyword  whole  property yellow
250     keyword  whole  protected yellow
251     keyword  whole  pure yellow
252     keyword  whole  rand yellow
253     keyword  whole  randc yellow
254     keyword  whole  randcase yellow
255     keyword  whole  randsequence yellow
256     keyword  whole  ref yellow
257     keyword  whole  return yellow
258     keyword  whole  sequence yellow
259     keyword  whole  shortint yellow
260     keyword  whole  shortreal yellow
261     keyword  whole  solve yellow
262     keyword  whole  static yellow
263     keyword  whole  string yellow
264     keyword  whole  struct yellow
265     keyword  whole  super yellow
266     keyword  whole  tagged yellow
267     keyword  whole  this yellow
268     keyword  whole  throughout yellow
269     keyword  whole  timeprecision yellow
270     keyword  whole  timeunit yellow
271     keyword  whole  type yellow
272     keyword  whole  typedef yellow
273     keyword  whole  union yellow
274     keyword  whole  unique yellow
275     keyword  whole  var yellow
276     keyword  whole  virtual yellow
277     keyword  whole  void yellow
278     keyword  whole  wait_order yellow
279     keyword  whole  wildcard yellow
280     keyword  whole  with yellow
281     keyword  whole  within yellow
283 #Reserved Keywords IEEE1800-2009
284     keyword  whole  accept_on yellow
285     keyword  whole  checker yellow
286     keyword  whole  endchecker yellow
287     keyword  whole  eventually
288     keyword  whole  global yellow
289     keyword  whole  implies yellow
290     keyword  whole  let yellow
291     keyword  whole  nexttime yellow
292     keyword  whole  reject_on yellow
293     keyword  whole  restrict yellow
294     keyword  whole  s_always yellow
295     keyword  whole  s_eventually yellow
296     keyword  whole  s_nexttime yellow
297     keyword  whole  s_until yellow
298     keyword  whole  s_until_with yellow
299     keyword  whole  strong yellow
300     keyword  whole  sync_accept_on yellow
301     keyword  whole  sync_reject_on yellow
302     keyword  whole  unique0 yellow
303     keyword  whole  until yellow
304     keyword  whole  until_with yellow
305     keyword  whole  untyped yellow
306     keyword  whole  weak yellow
308 #Reserved Keywords IEEE1800-2012
309     keyword  whole  implements yellow
310     keyword  whole  interconnect yellow
311     keyword  whole  nettype yellow
312     keyword  whole  soft yellow
314 #Utility system tasks and system functions
315     keyword  whole  $acos yellow
316     keyword  whole  $acosh yellow
317     keyword  whole  $asin yellow
318     keyword  whole  $asinh yellow
319     keyword  whole  $assertcontrol yellow
320     keyword  whole  $assertfailoff yellow
321     keyword  whole  $assertfailon yellow
322     keyword  whole  $assertkill yellow
323     keyword  whole  $assertnonvacuouson yellow
324     keyword  whole  $assertoff yellow
325     keyword  whole  $asserton yellow
326     keyword  whole  $assertpassoff yellow
327     keyword  whole  $assertpasson yellow
328     keyword  whole  $assertvacuousoff yellow
329     keyword  whole  $async$and$array yellow
330     keyword  whole  $async$and$plane yellow
331     keyword  whole  $async$nand$array yellow
332     keyword  whole  $async$nand$plane yellow
333     keyword  whole  $async$nor$array yellow
334     keyword  whole  $async$nor$plane yellow
335     keyword  whole  $async$or$array yellow
336     keyword  whole  $async$or$plane yellow
337     keyword  whole  $atan yellow
338     keyword  whole  $atan2 yellow
339     keyword  whole  $atanh yellow
340     keyword  whole  $bits yellow
341     keyword  whole  $bitstoreal yellow
342     keyword  whole  $bitstoshortreal yellow
343     keyword  whole  $cast yellow
344     keyword  whole  $ceil yellow
345     keyword  whole  $changed yellow
346     keyword  whole  $changed_gclk yellow
347     keyword  whole  $changing_gclk yellow
348     keyword  whole  $clog2 yellow
349     keyword  whole  $cos yellow
350     keyword  whole  $cosh yellow
351     keyword  whole  $countbits yellow
352     keyword  whole  $countones yellow
353     keyword  whole  $coverage_control yellow
354     keyword  whole  $coverage_get yellow
355     keyword  whole  $coverage_get_max yellow
356     keyword  whole  $coverage_merge yellow
357     keyword  whole  $coverage_save yellow
358     keyword  whole  $dimensions yellow
359     keyword  whole  $dist_chi_square yellow
360     keyword  whole  $dist_erlang yellow
361     keyword  whole  $dist_exponential yellow
362     keyword  whole  $dist_normal yellow
363     keyword  whole  $dist_poisson yellow
364     keyword  whole  $dist_t yellow
365     keyword  whole  $dist_uniform yellow
366     keyword  whole  $error yellow
367     keyword  whole  $error yellow
368     keyword  whole  $exit yellow
369     keyword  whole  $exp yellow
370     keyword  whole  $falling_gclk yellow
371     keyword  whole  $fatal yellow
372     keyword  whole  $fatal yellow
373     keyword  whole  $fell yellow
374     keyword  whole  $fell_gclk yellow
375     keyword  whole  $finish yellow
376     keyword  whole  $floor yellow
377     keyword  whole  $future_gclk yellow
378     keyword  whole  $get_coverage yellow
379     keyword  whole  $high yellow
380     keyword  whole  $hypot yellow
381     keyword  whole  $increment yellow
382     keyword  whole  $info yellow
383     keyword  whole  $info yellow
384     keyword  whole  $isunbounded yellow
385     keyword  whole  $isunknown yellow
386     keyword  whole  $itor yellow
387     keyword  whole  $left yellow
388     keyword  whole  $ln yellow
389     keyword  whole  $load_coverage_db yellow
390     keyword  whole  $log10 yellow
391     keyword  whole  $low yellow
392     keyword  whole  $onehot yellow
393     keyword  whole  $onehot0 yellow
394     keyword  whole  $past yellow
395     keyword  whole  $past_gclk yellow
396     keyword  whole  $pow yellow
397     keyword  whole  $printtimescale yellow
398     keyword  whole  $q_add yellow
399     keyword  whole  $q_exam yellow
400     keyword  whole  $q_full yellow
401     keyword  whole  $q_initialize yellow
402     keyword  whole  $q_remove yellow
403     keyword  whole  $random yellow
404     keyword  whole  $realtime yellow
405     keyword  whole  $realtobits yellow
406     keyword  whole  $right yellow
407     keyword  whole  $rising_gclk yellow
408     keyword  whole  $rose yellow
409     keyword  whole  $rose_gclk yellow
410     keyword  whole  $rtoi yellow
411     keyword  whole  $sampled yellow
412     keyword  whole  $set_coverage_db_name yellow
413     keyword  whole  $shortrealtobits yellow
414     keyword  whole  $signed yellow
415     keyword  whole  $sin yellow
416     keyword  whole  $sinh yellow
417     keyword  whole  $size yellow
418     keyword  whole  $sqrt yellow
419     keyword  whole  $stable yellow
420     keyword  whole  $stable_gclk yellow
421     keyword  whole  $steady_gclk yellow
422     keyword  whole  $stime yellow
423     keyword  whole  $stop yellow
424     keyword  whole  $sync$and$array yellow
425     keyword  whole  $sync$and$plane yellow
426     keyword  whole  $sync$nand$array yellow
427     keyword  whole  $sync$nand$plane yellow
428     keyword  whole  $sync$nor$array yellow
429     keyword  whole  $sync$nor$plane yellow
430     keyword  whole  $sync$or$array yellow
431     keyword  whole  $sync$or$plane yellow
432     keyword  whole  $system yellow
433     keyword  whole  $tan yellow
434     keyword  whole  $tanh yellow
435     keyword  whole  $time yellow
436     keyword  whole  $timeformat yellow
437     keyword  whole  $typename yellow
438     keyword  whole  $unpacked_dimensions yellow
439     keyword  whole  $unsigned yellow
440     keyword  whole  $warning yellow
442 #Input/output system tasks and system functions
443     keyword  whole  $display yellow
444     keyword  whole  $displayb yellow
445     keyword  whole  $displayh yellow
446     keyword  whole  $displayo yellow
447     keyword  whole  $dumpall yellow
448     keyword  whole  $dumpfile yellow
449     keyword  whole  $dumpflush yellow
450     keyword  whole  $dumplimit yellow
451     keyword  whole  $dumpoff yellow
452     keyword  whole  $dumpon yellow
453     keyword  whole  $dumpports yellow
454     keyword  whole  $dumpportsall yellow
455     keyword  whole  $dumpportsflush yellow
456     keyword  whole  $dumpportslimit yellow
457     keyword  whole  $dumpportsoff yellow
458     keyword  whole  $dumpportson yellow
459     keyword  whole  $dumpvars yellow
460     keyword  whole  $fclose yellow
461     keyword  whole  $fdisplay yellow
462     keyword  whole  $fdisplayb yellow
463     keyword  whole  $fdisplayh yellow
464     keyword  whole  $fdisplayo yellow
465     keyword  whole  $feof yellow
466     keyword  whole  $ferror yellow
467     keyword  whole  $fflush yellow
468     keyword  whole  $fgetc yellow
469     keyword  whole  $fgets yellow
470     keyword  whole  $fmonitor yellow
471     keyword  whole  $fmonitorb yellow
472     keyword  whole  $fmonitorh yellow
473     keyword  whole  $fmonitoro yellow
474     keyword  whole  $fopen yellow
475     keyword  whole  $fread yellow
476     keyword  whole  $fscanf yellow
477     keyword  whole  $fseek yellow
478     keyword  whole  $fstrobe yellow
479     keyword  whole  $fstrobeb yellow
480     keyword  whole  $fstrobeh yellow
481     keyword  whole  $fstrobeo yellow
482     keyword  whole  $ftell yellow
483     keyword  whole  $fwrite yellow
484     keyword  whole  $fwriteb yellow
485     keyword  whole  $fwriteh yellow
486     keyword  whole  $fwriteo yellow
487     keyword  whole  $monitor yellow
488     keyword  whole  $monitorb yellow
489     keyword  whole  $monitorh yellow
490     keyword  whole  $monitoro yellow
491     keyword  whole  $monitoroff yellow
492     keyword  whole  $monitoron yellow
493     keyword  whole  $readmemb yellow
494     keyword  whole  $readmemh yellow
495     keyword  whole  $rewind yellow
496     keyword  whole  $sformat yellow
497     keyword  whole  $sformatf yellow
498     keyword  whole  $sscanf yellow
499     keyword  whole  $strobe yellow
500     keyword  whole  $strobeb yellow
501     keyword  whole  $strobeh yellow
502     keyword  whole  $strobeo yellow
503     keyword  whole  $swrite yellow
504     keyword  whole  $swriteb yellow
505     keyword  whole  $swriteh yellow
506     keyword  whole  $swriteo yellow
507     keyword  whole  $test$plusargs yellow
508     keyword  whole  $ungetc yellow
509     keyword  whole  $value$plusargs yellow
510     keyword  whole  $write yellow
511     keyword  whole  $writeb yellow
512     keyword  whole  $writeh yellow
513     keyword  whole  $writememb yellow
514     keyword  whole  $writememh yellow
515     keyword  whole  $writeo yellow
517 #Timing checks
518     keyword  whole  $fullskew yellow
519     keyword  whole  $hold yellow
520     keyword  whole  $nochange yellow
521     keyword  whole  $period yellow
522     keyword  whole  $recovery yellow
523     keyword  whole  $recrem yellow
524     keyword  whole  $removal yellow
525     keyword  whole  $setup yellow
526     keyword  whole  $setuphold yellow
527     keyword  whole  $skew yellow
528     keyword  whole  $timeskew yellow
529     keyword  whole  $width yellow
531 #Other items (these are not specified in any verilog standard. These may be vendor-specific or deprecated):
532     keyword  whole  $history yellow
533     keyword  whole  $showvariables yellow
535 #Optional system tasks and system functions
536     keyword  whole  $countdrivers yellow
537     keyword  whole  $getpattern yellow
538     keyword  whole  $incsave yellow
539     keyword  whole  $input yellow
540     keyword  whole  $key yellow
541     keyword  whole  $list yellow
542     keyword  whole  $log yellow
543     keyword  whole  $nokey yellow
544     keyword  whole  $nolog yellow
545     keyword  whole  $reset yellow
546     keyword  whole  $reset_count yellow
547     keyword  whole  $reset_value yellow
548     keyword  whole  $restart yellow
549     keyword  whole  $save yellow
550     keyword  whole  $scale yellow
551     keyword  whole  $scope yellow
552     keyword  whole  $showscopes yellow
553     keyword  whole  $showvars yellow
554     keyword  whole  $sreadmemb yellow
555     keyword  whole  $sreadmemh yellow
557     keyword > yellow
558     keyword < yellow
559     keyword \+ yellow
560     keyword - yellow
561     keyword \* yellow
562     keyword / yellow
563     keyword % yellow
564     keyword = yellow
565     keyword != yellow
566     keyword == yellow
567     keyword || yellow
568     keyword && yellow
569     keyword { brightcyan
570     keyword } brightcyan
571     keyword ( brightcyan
572     keyword ) brightcyan
573     keyword [ brightcyan
574     keyword ] brightcyan
575     keyword , brightcyan
576     keyword . brightcyan
577     keyword : brightcyan
578     keyword ? brightcyan
579     keyword ; brightmagenta
580     keyword | brightmagenta
581     keyword & brightmagenta
582     keyword ~ brightmagenta
583     keyword ^ brightmagenta
586 context exclusive /\* \*/ brown
587     spellcheck
589 context exclusive // \n brown
590     spellcheck
592 context " " green/