Ticket #3683: initial support of GLSL syntax highlighting.
[midnight-commander.git] / misc / syntax / Makefile.am
blob04c3ba44ef47ca3fc3cd49b057f3b2bf3df6e7eb
1 SYNTAX_IN = Syntax.in
3 SYNTAX_OUT = Syntax
5 SYNTAXFILES =                   \
6         PKGBUILD.syntax         \
7         ada95.syntax            \
8         as.syntax               \
9         aspx.syntax             \
10         assembler.syntax        \
11         awk.syntax              \
12         c.syntax                \
13         cabal.syntax            \
14         changelog.syntax        \
15         cmake.syntax            \
16         cs.syntax               \
17         css.syntax              \
18         cxx.syntax              \
19         d.syntax                \
20         dlink.syntax            \
21         debian-changelog.syntax \
22         debian-control.syntax   \
23         debian-description.syntax       \
24         debian-sources-list.syntax      \
25         diff.syntax             \
26         dos.syntax              \
27         ebuild.syntax           \
28         eiffel.syntax           \
29         erlang.syntax           \
30         f90.syntax              \
31         filehighlight.syntax    \
32         fortran.syntax          \
33         glsl.syntax             \
34         go.syntax               \
35         haskell.syntax          \
36         html.syntax             \
37         idl.syntax              \
38         ini.syntax              \
39         j.syntax                \
40         jal.syntax              \
41         java.syntax             \
42         js.syntax               \
43         latex.syntax            \
44         lisp.syntax             \
45         lkr.syntax              \
46         lsm.syntax              \
47         lua.syntax              \
48         m4.syntax               \
49         mail.syntax             \
50         makefile.syntax         \
51         ml.syntax               \
52         named.syntax            \
53         nemerle.syntax          \
54         nroff.syntax            \
55         octave.syntax           \
56         pascal.syntax           \
57         perl.syntax             \
58         php.syntax              \
59         po.syntax               \
60         povray.syntax           \
61         procmail.syntax         \
62         properties.syntax       \
63         puppet.syntax           \
64         python.syntax           \
65         cython.syntax           \
66         ruby.syntax             \
67         sh.syntax               \
68         slang.syntax            \
69         smalltalk.syntax        \
70         spec.syntax             \
71         sql.syntax              \
72         strace.syntax           \
73         swig.syntax             \
74         syntax.syntax           \
75         tcl.syntax              \
76         texinfo.syntax          \
77         tt.syntax               \
78         unknown.syntax          \
79         verilog.syntax          \
80         vhdl.syntax             \
81         xml.syntax              \
82         yum-repo.syntax         \
83         yxx.syntax
85 if USE_INTERNAL_EDIT
86 syntaxdir =             $(pkgdatadir)/syntax
87 syntax_DATA =           $(SYNTAX_OUT) \
88                         $(SYNTAXFILES)
89 endif
91 EXTRA_DIST =            $(SYNTAX_IN) \
92                         $(SYNTAXFILES)