*** empty log message ***
[midnight-commander.git] / syntax / perl.syntax
blobf8ca0b37e05475855ac8848e556b20d155d0a7d3
1 context default
2     keyword whole linestart #!\[\s\]/\[abcdefghijklmnopqrstuvwxyz\]/\[abcdefghijklmnopqrstuvwxyz\]/\[abcdefghijklmnopqrstuvwxyz\]/\[abcdefghijklmnopqrstuvwxyz\]/bin/perl brightcyan/17 black/0
3     keyword whole linestart #!\[\s\]/\[abcdefghijklmnopqrstuvwxyz\]/\[abcdefghijklmnopqrstuvwxyz\]/\[abcdefghijklmnopqrstuvwxyz\]/bin/perl brightcyan/17 black/0
4     keyword whole linestart #!\[\s\]/\[abcdefghijklmnopqrstuvwxyz\]/\[abcdefghijklmnopqrstuvwxyz\]/bin/perl brightcyan/17 black/0
5     keyword whole linestart #!\[\s\]/\[abcdefghijklmnopqrstuvwxyz\]/bin/perl brightcyan/17 black/0
6     keyword whole linestart #!\[\s\]/bin/perl brightcyan/17 black/0
8     keyword $_ red/orange
9     keyword $. red/orange
10     keyword $/ red/orange
11     keyword $, red/orange
12     keyword $" red/orange
13     keyword $\\ red/orange
14     keyword $# red/orange
15     keyword $\* red/orange
16     keyword $? red/orange
17     keyword $] red/orange
18     keyword $[ red/orange
19     keyword $; red/orange
20     keyword $! red/orange
21     keyword $@ red/orange
22     keyword $: red/orange
23     keyword $0 red/orange
24     keyword $$ red/orange
25     keyword $< red/orange
26     keyword $> red/orange
27     keyword $( red/orange
28     keyword $) red/orange
30     keyword $% red/orange
31     keyword $= red/orange
32     keyword $- red/orange
33     keyword $~ red/orange
34     keyword $| red/orange
35     keyword $& red/orange
36     keyword $` red/orange
37     keyword $' red/orange
38     keyword $\+ red/orange
39     keyword $\{0123456789\}\{0123456789\} red/orange
40     keyword $\{0123456789\} red/orange
42     keyword $^A red/orange
43     keyword $^D red/orange
44     keyword $^E red/orange
45     keyword $^I red/orange
46     keyword $^L red/orange
47     keyword $^P red/orange
48     keyword $^T red/orange
49     keyword $^W red/orange
50     keyword $^X red/orange
51     keyword $^A red/orange
53     keyword @EXPORT red/orange
54     keyword @EXPORT_OK red/orange
55     keyword @INC red/orange
56     keyword @ISA red/orange
57     keyword @_ red/orange
58     keyword @ENV red/orange
59     keyword @OVERLOAD red/orange
60     keyword @SIG red/orange
63     keyword <+> brightred/18
64     keyword -> yellow/24
65     keyword => yellow/24
66     keyword > yellow/24
67     keyword < yellow/24
68     keyword \+ yellow/24
69     keyword - yellow/24
70     keyword \* yellow/24
71     keyword / yellow/24
72     keyword % yellow/24
73     keyword = yellow/24
74     keyword != yellow/24
75     keyword == yellow/24
76     keyword && yellow/24
77     keyword || yellow/24
78     keyword ! yellow/24
79     keyword whole ge yellow/24
80     keyword whole le yellow/24
81     keyword whole gt yellow/24
82     keyword whole lt yellow/24
83     keyword whole eq yellow/24
84     keyword whole ne yellow/24
85     keyword whole cmp yellow/24
86     keyword ~ yellow/24
87     keyword { brightcyan/14
88     keyword } brightcyan/14
89     keyword ( brightcyan/15
90     keyword ) brightcyan/15
91     keyword [ brightcyan/14
92     keyword ] brightcyan/14
93     keyword , brightcyan/14
94     keyword .. brightcyan/14
95     keyword : brightcyan/14
96     keyword ; brightmagenta/19
98     keyword whole sub yellow/24
99     keyword whole STDIN brightred/18
100     keyword whole STDOUT brightred/18
101     keyword whole STDERR brightred/18
102     keyword whole STDARGV brightred/18
103     keyword whole DATA brightred/18
105     keyword & brightmagenta/19
106     keyword wholeright $+ brightgreen/PaleGreen
107     keyword wholeright %+ brightcyan/17
108     keyword wholeright @+ white/21
109     keyword \\" brightred/18
110     keyword \\' brightred/18
112     keyword whole and magenta/23
113     keyword whole or magenta/23
114     keyword whole not magenta/23
115     keyword whole do magenta/23
116     keyword whole if magenta/23
117     keyword whole until magenta/23
118     keyword whole elsif magenta/23
119     keyword whole else magenta/23
120     keyword whole unless magenta/23
121     keyword whole while magenta/23
122     keyword whole foreach magenta/23
123     keyword whole for magenta/23
124     keyword whole goto magenta/23
125     keyword whole last magenta/23
126     keyword whole next magenta/23
127     keyword whole bless magenta/23
128     keyword whole caller magenta/23
129     keyword whole import magenta/23
130     keyword whole package magenta/23
131     keyword whole require magenta/23
132     keyword whole return magenta/23
133     keyword whole untie magenta/23
134     keyword whole use magenta/23
136     keyword whole diagnostics brightcyan/17
137     keyword whole integer brightcyan/17
138     keyword whole less brightcyan/17
139     keyword whole lib brightcyan/17
140     keyword whole ops brightcyan/17
141     keyword whole overload brightcyan/17
142     keyword whole sigtrap brightcyan/17
143     keyword whole strict brightcyan/17
144     keyword whole vars brightcyan/17
146     keyword whole abs yellow/24
147     keyword whole atan2 yellow/24
148     keyword whole cos yellow/24
149     keyword whole exp yellow/24
150     keyword whole int yellow/24
151     keyword whole log yellow/24
152     keyword whole rand yellow/24
153     keyword whole sin yellow/24
154     keyword whole sqrt yellow/24
155     keyword whole srand yellow/24
156     keyword whole time yellow/24
157     keyword whole chr yellow/24
158     keyword whole gmtime yellow/24
159     keyword whole hex yellow/24
160     keyword whole localtime yellow/24
161     keyword whole oct yellow/24
162     keyword whole ord yellow/24
163     keyword whole vec yellow/24
164     keyword whole pack yellow/24
165     keyword whole unpack yellow/24
167     keyword whole chomp yellow/YellowGreen
168     keyword whole chop yellow/YellowGreen
169     keyword whole crypt yellow/YellowGreen
170     keyword whole eval yellow/YellowGreen
171     keyword whole index yellow/YellowGreen
172     keyword whole length yellow/YellowGreen
173     keyword whole lc yellow/YellowGreen
174     keyword whole lcfirst yellow/YellowGreen
175     keyword whole quotemeta yellow/YellowGreen
176     keyword whole rindex yellow/YellowGreen
177     keyword whole substr yellow/YellowGreen
178     keyword whole uc yellow/YellowGreen
179     keyword whole ucfirst yellow/YellowGreen
181     keyword whole delete yellow/24
182     keyword whole each yellow/24
183     keyword whole exists yellow/24
184     keyword whole grep yellow/24
185     keyword whole join yellow/24
186     keyword whole keys yellow/24
187     keyword whole map yellow/24
188     keyword whole pop yellow/24
189     keyword whole push yellow/24
190     keyword whole reverse yellow/24
191     keyword whole scalar yellow/24
192     keyword whole shift yellow/24
193     keyword whole sort yellow/24
194     keyword whole splice yellow/24
195     keyword whole split yellow/24
196     keyword whole unshift yellow/24
197     keyword whole values yellow/24
199     keyword whole chmod yellow/24
200     keyword whole chown yellow/24
201     keyword whole truncate yellow/24
202     keyword whole link yellow/24
203     keyword whole lstat yellow/24
204     keyword whole mkdir yellow/24
205     keyword whole readlink yellow/24
206     keyword whole rename yellow/24
207     keyword whole rmdir yellow/24
208     keyword whole stat yellow/24
209     keyword whole symlink yellow/24
210     keyword whole unlink yellow/24
211     keyword whole utime yellow/24
213     keyword whole binmade yellow/24
214     keyword whole close yellow/24
215     keyword whole dbmclose yellow/24
216     keyword whole dbmopen yellow/24
217     keyword whole binmade yellow/24
218     keyword whole eof yellow/24
219     keyword whole fcntl yellow/24
220     keyword whole fileno yellow/24
221     keyword whole flock yellow/24
222     keyword whole getc yellow/24
223     keyword whole ioctl yellow/24
224     keyword whole open yellow/24
225     keyword whole pipe yellow/24
226     keyword whole print yellow/24
227     keyword whole printf yellow/24
228     keyword whole read yellow/24
229     keyword whole seek yellow/24
230     keyword whole select yellow/24
231     keyword whole sprintf yellow/24
232     keyword whole sysopen yellow/24
233     keyword whole sysread yellow/24
234     keyword whole syswrite yellow/24
235     keyword whole tell yellow/24
237     keyword whole formline yellow/24
238     keyword whole write yellow/24
240     keyword whole closedir yellow/24
241     keyword whole opendir yellow/24
242     keyword whole readdir yellow/24
243     keyword whole rewinddir yellow/24
244     keyword whole seekdir yellow/24
245     keyword whole telldir yellow/24
247     keyword whole alarm yellow/24
248     keyword whole chdir yellow/24
249     keyword whole chroot yellow/24
250     keyword whole die yellow/24
251     keyword whole exec yellow/24
252     keyword whole exit yellow/24
253     keyword whole fork yellow/24
254     keyword whole getlogin yellow/24
255     keyword whole getpgrp yellow/24
256     keyword whole getppid yellow/24
257     keyword whole getpriority yellow/24
258     keyword whole glob yellow/24
259     keyword whole kill yellow/24
260     keyword whole setpgrp yellow/24
261     keyword whole setpriority yellow/24
262     keyword whole sleep yellow/24
263     keyword whole syscall yellow/24
264     keyword whole system yellow/24
265     keyword whole times yellow/24
266     keyword whole umask yellow/24
267     keyword whole wait yellow/24
268     keyword whole waitpid yellow/24
269     keyword whole warn yellow/24
271     keyword whole accept yellow/24
272     keyword whole bind yellow/24
273     keyword whole connect yellow/24
274     keyword whole getpeername yellow/24
275     keyword whole getsockname yellow/24
276     keyword whole getsockopt yellow/24
277     keyword whole listen yellow/24
278     keyword whole recv yellow/24
279     keyword whole send yellow/24
280     keyword whole setsockopt yellow/24
281     keyword whole shutdown yellow/24
282     keyword whole socket yellow/24
283     keyword whole socketpair yellow/24
285     keyword whole msgctl yellow/24
286     keyword whole msgget yellow/24
287     keyword whole msgsnd yellow/24
288     keyword whole msgrcv yellow/24
289     keyword whole semctl yellow/24
290     keyword whole semget yellow/24
291     keyword whole semop yellow/24
292     keyword whole shmctl yellow/24
293     keyword whole shmget yellow/24
294     keyword whole shmread yellow/24
295     keyword whole shmwrite yellow/24
297     keyword whole defined yellow/24
298     keyword whole dump yellow/24
299     keyword whole eval yellow/24
300     keyword whole local yellow/24
301     keyword whole my yellow/24
302     keyword whole ref yellow/24
303     keyword whole reset yellow/24
304     keyword whole scalar yellow/24
305     keyword whole undef yellow/24
306     keyword whole wantarray yellow/24
308     keyword whole endpwent yellow/24
309     keyword whole getpwent yellow/24
310     keyword whole getpwnam yellow/24
311     keyword whole getpwuid yellow/24
312     keyword whole setpwent yellow/24
313     keyword whole endgrent yellow/24
314     keyword whole getgrgid yellow/24
315     keyword whole getgrnam yellow/24
316     keyword whole getgrent yellow/24
317     keyword whole setgrent yellow/24
319     keyword whole endhostent yellow/24
320     keyword whole gethostbyaddr yellow/24
321     keyword whole gethostbyname yellow/24
322     keyword whole gethostent yellow/24
323     keyword whole sethostent yellow/24
325     keyword whole endnetent yellow/24
326     keyword whole getnetbyaddr yellow/24
327     keyword whole getnetbyname yellow/24
328     keyword whole getnetent yellow/24
329     keyword whole setnetent yellow/24
330     keyword whole endservent yellow/24
331     keyword whole getservbyname yellow/24
332     keyword whole getservbyport yellow/24
333     keyword whole getservent yellow/24
334     keyword whole serservent yellow/24
335     keyword whole endprotoent yellow/24
336     keyword whole getprotobyname yellow/24
337     keyword whole getprotobynumber yellow/24
338     keyword whole getprotoent yellow/24
339     keyword whole setprotoent yellow/24
341 context exclusive whole <\[\s\\\]EOF EOF green/6
342 context # \n brown/22
343     spellcheck
344 context linestart = =cut brown/22
345 context " " green/6
346     keyword \\" brightgreen/16
347     keyword \\\\ brightgreen/16
348 context ' ' brightgreen/16
349     keyword \\' green/6
350     keyword \\\\ green/6
352 context exclusive ` ` white/26 black/0
354 context whole __END__ guacomale_pudding brown/22