coredump: make mm->core_state visible to ->core_dump()
[linux-2.6/mini2440.git] / drivers / ide / legacy / gayle.c
blob51ba085d7aa8a42d34420591cac7df3a0b5ca65b
1 /*
2 * Amiga Gayle IDE Driver
4 * Created 9 Jul 1997 by Geert Uytterhoeven
6 * This file is subject to the terms and conditions of the GNU General Public
7 * License. See the file COPYING in the main directory of this archive for
8 * more details.
9 */
11 #include <linux/types.h>
12 #include <linux/mm.h>
13 #include <linux/interrupt.h>
14 #include <linux/blkdev.h>
15 #include <linux/hdreg.h>
16 #include <linux/ide.h>
17 #include <linux/init.h>
18 #include <linux/zorro.h>
19 #include <linux/module.h>
21 #include <asm/setup.h>
22 #include <asm/amigahw.h>
23 #include <asm/amigaints.h>
24 #include <asm/amigayle.h>
28 * Bases of the IDE interfaces
31 #define GAYLE_BASE_4000 0xdd2020 /* A4000/A4000T */
32 #define GAYLE_BASE_1200 0xda0000 /* A1200/A600 and E-Matrix 530 */
34 #define GAYLE_IDEREG_SIZE 0x2000
37 * Offsets from one of the above bases
40 #define GAYLE_CONTROL 0x101a
43 * These are at different offsets from the base
46 #define GAYLE_IRQ_4000 0xdd3020 /* MSB = 1, Harddisk is source of */
47 #define GAYLE_IRQ_1200 0xda9000 /* interrupt */
51 * Offset of the secondary port for IDE doublers
52 * Note that GAYLE_CONTROL is NOT available then!
55 #define GAYLE_NEXT_PORT 0x1000
57 #ifndef CONFIG_BLK_DEV_IDEDOUBLER
58 #define GAYLE_NUM_HWIFS 1
59 #define GAYLE_NUM_PROBE_HWIFS GAYLE_NUM_HWIFS
60 #define GAYLE_HAS_CONTROL_REG 1
61 #else /* CONFIG_BLK_DEV_IDEDOUBLER */
62 #define GAYLE_NUM_HWIFS 2
63 #define GAYLE_NUM_PROBE_HWIFS (ide_doubler ? GAYLE_NUM_HWIFS : \
64 GAYLE_NUM_HWIFS-1)
65 #define GAYLE_HAS_CONTROL_REG (!ide_doubler)
67 static int ide_doubler;
68 module_param_named(doubler, ide_doubler, bool, 0);
69 MODULE_PARM_DESC(doubler, "enable support for IDE doublers");
70 #endif /* CONFIG_BLK_DEV_IDEDOUBLER */
74 * Check and acknowledge the interrupt status
77 static int gayle_ack_intr_a4000(ide_hwif_t *hwif)
79 unsigned char ch;
81 ch = z_readb(hwif->io_ports.irq_addr);
82 if (!(ch & GAYLE_IRQ_IDE))
83 return 0;
84 return 1;
87 static int gayle_ack_intr_a1200(ide_hwif_t *hwif)
89 unsigned char ch;
91 ch = z_readb(hwif->io_ports.irq_addr);
92 if (!(ch & GAYLE_IRQ_IDE))
93 return 0;
94 (void)z_readb(hwif->io_ports.status_addr);
95 z_writeb(0x7c, hwif->io_ports.irq_addr);
96 return 1;
99 static void __init gayle_setup_ports(hw_regs_t *hw, unsigned long base,
100 unsigned long ctl, unsigned long irq_port,
101 ide_ack_intr_t *ack_intr)
103 int i;
105 memset(hw, 0, sizeof(*hw));
107 hw->io_ports.data_addr = base;
109 for (i = 1; i < 8; i++)
110 hw->io_ports_array[i] = base + 2 + i * 4;
112 hw->io_ports.ctl_addr = ctl;
113 hw->io_ports.irq_addr = irq_port;
115 hw->irq = IRQ_AMIGA_PORTS;
116 hw->ack_intr = ack_intr;
118 hw->chipset = ide_generic;
122 * Probe for a Gayle IDE interface (and optionally for an IDE doubler)
125 static int __init gayle_init(void)
127 unsigned long phys_base, res_start, res_n;
128 unsigned long base, ctrlport, irqport;
129 ide_ack_intr_t *ack_intr;
130 int a4000, i, rc;
131 hw_regs_t hw[GAYLE_NUM_HWIFS], *hws[] = { NULL, NULL, NULL, NULL };
133 if (!MACH_IS_AMIGA)
134 return -ENODEV;
136 if ((a4000 = AMIGAHW_PRESENT(A4000_IDE)) || AMIGAHW_PRESENT(A1200_IDE))
137 goto found;
139 #ifdef CONFIG_ZORRO
140 if (zorro_find_device(ZORRO_PROD_MTEC_VIPER_MK_V_E_MATRIX_530_SCSI_IDE,
141 NULL))
142 goto found;
143 #endif
144 return -ENODEV;
146 found:
147 printk(KERN_INFO "ide: Gayle IDE controller (A%d style%s)\n",
148 a4000 ? 4000 : 1200,
149 #ifdef CONFIG_BLK_DEV_IDEDOUBLER
150 ide_doubler ? ", IDE doubler" :
151 #endif
152 "");
154 if (a4000) {
155 phys_base = GAYLE_BASE_4000;
156 irqport = (unsigned long)ZTWO_VADDR(GAYLE_IRQ_4000);
157 ack_intr = gayle_ack_intr_a4000;
158 } else {
159 phys_base = GAYLE_BASE_1200;
160 irqport = (unsigned long)ZTWO_VADDR(GAYLE_IRQ_1200);
161 ack_intr = gayle_ack_intr_a1200;
164 * FIXME: we now have selectable modes between mmio v/s iomio
167 res_start = ((unsigned long)phys_base) & ~(GAYLE_NEXT_PORT-1);
168 res_n = GAYLE_IDEREG_SIZE;
170 if (!request_mem_region(res_start, res_n, "IDE"))
171 return -EBUSY;
173 for (i = 0; i < GAYLE_NUM_PROBE_HWIFS; i++) {
174 base = (unsigned long)ZTWO_VADDR(phys_base + i * GAYLE_NEXT_PORT);
175 ctrlport = GAYLE_HAS_CONTROL_REG ? (base + GAYLE_CONTROL) : 0;
177 gayle_setup_ports(&hw[i], base, ctrlport, irqport, ack_intr);
179 hws[i] = &hw[i];
182 rc = ide_host_add(NULL, hws, NULL);
183 if (rc)
184 release_mem_region(res_start, res_n);
186 return rc;
189 module_init(gayle_init);
191 MODULE_LICENSE("GPL");