ftrace: do not enclose logic in WARN_ON
[linux-2.6/kvm.git] / include / asm-x86 / vga.h
blobb9e493d07d07a1478ec8d42538cf55fe54e3b970
1 /*
2 * Access to VGA videoram
4 * (c) 1998 Martin Mares <mj@ucw.cz>
5 */
7 #ifndef ASM_X86__VGA_H
8 #define ASM_X86__VGA_H
11 * On the PC, we can just recalculate addresses and then
12 * access the videoram directly without any black magic.
15 #define VGA_MAP_MEM(x, s) (unsigned long)phys_to_virt(x)
17 #define vga_readb(x) (*(x))
18 #define vga_writeb(x, y) (*(y) = (x))
20 #endif /* ASM_X86__VGA_H */