Replace M_El with lit_e in libm-test.inc
[glibc.git] / sysdeps / x86_64 / fpu / test-double-vlen4-wrappers.c
blob52b81da3eef21a3690a10830c2cb95f0d38d2021
1 /* Wrapper part of tests for AVX ISA versions of vector math functions.
2 Copyright (C) 2014-2016 Free Software Foundation, Inc.
3 This file is part of the GNU C Library.
5 The GNU C Library is free software; you can redistribute it and/or
6 modify it under the terms of the GNU Lesser General Public
7 License as published by the Free Software Foundation; either
8 version 2.1 of the License, or (at your option) any later version.
10 The GNU C Library is distributed in the hope that it will be useful,
11 but WITHOUT ANY WARRANTY; without even the implied warranty of
12 MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU
13 Lesser General Public License for more details.
15 You should have received a copy of the GNU Lesser General Public
16 License along with the GNU C Library; if not, see
17 <http://www.gnu.org/licenses/>. */
19 #include "test-double-vlen4.h"
20 #include <immintrin.h>
22 #define VEC_TYPE __m256d
24 VECTOR_WRAPPER (WRAPPER_NAME (cos), _ZGVcN4v_cos)
25 VECTOR_WRAPPER (WRAPPER_NAME (sin), _ZGVcN4v_sin)
26 VECTOR_WRAPPER_fFF (WRAPPER_NAME (sincos), _ZGVcN4vvv_sincos)
27 VECTOR_WRAPPER (WRAPPER_NAME (log), _ZGVcN4v_log)
28 VECTOR_WRAPPER (WRAPPER_NAME (exp), _ZGVcN4v_exp)
29 VECTOR_WRAPPER_ff (WRAPPER_NAME (pow), _ZGVcN4vv_pow)