gsch2pcb: Make --m4-file and -m4-pcbdir arguments work again.
[geda-gaf/peter-b.git] / docs / wiki / geda-icarus_vvp_runtime.html
blobcd00e5dd7443d627480affecbfc275178d6fa63f
1 <!DOCTYPE html PUBLIC "-//W3C//DTD XHTML 1.0 Transitional//EN"
2 "http://www.w3.org/TR/xhtml1/DTD/xhtml1-transitional.dtd">
3 <html>
4 <head>
5 <title></title>
6 <link rel="stylesheet" media="screen" type="text/css" href="./style.css" />
7 <link rel="stylesheet" media="screen" type="text/css" href="./design.css" />
8 <link rel="stylesheet" media="print" type="text/css" href="./print.css" />
10 <meta http-equiv="Content-Type" content="text/html; charset=utf-8" />
11 </head>
12 <body>
15 <h1 class="sectionedit807"><a name="icarus_verilog_vvp_runtime_engine_man-page" id="icarus_verilog_vvp_runtime_engine_man-page">Icarus Verilog vvp runtime engine man-page</a></h1>
16 <div class="level1">
17 <pre class="code">vvp(1) $Date: 2005/01/29 06:28:19 $ vvp(1)
21 NAME
22 vvp - Icarus Verilog vvp runtime engine
25 SYNOPSIS
26 vvp [-sv] [-Mpath] [-mmodule] [-llogfile] inputfile [extended-args...]
29 DESCRIPTION
30 vvp is the run time engine that executes the default compiled form gen-
31 erated by Icarus Verilog. The output from the iverilog command is not
32 by itself executable on any platform. Instead, the vvp program is
33 invoked to execute the generated output file.
36 OPTIONS
37 vvp accepts the following options:
39 -llogfile
40 This flag specifies a logfile where all MCI &lt;stdlog&gt; output
41 goes. Specify logfile as ’-’ to send log output to &lt;stderr&gt;.
42 $display and friends send their output both to &lt;stdout&gt; and
43 &lt;stdlog&gt;.
45 -Mpath This flag adds a directory to the path list used to locate VPI
46 modules. The default path includes only the install directory
47 for the system.vpi module, but this flag can add other directo-
48 ries. Multiple paths are allowed, and modules will be searched
49 in order.
51 -mmodule
52 Tell the vvp run time to load the named module before executing
53 the simulation. The system.vpi module is loaded by default, but
54 additional modules, including modules that you compiled
55 locally, can be specified with this flag. Any number of modules
56 can be loaded, and they will be linked in the order they are
57 listed on the command line.
59 Normally, you only need to specify the name of the module,
60 without any directory path or .vpi suffix and the search path
61 is scanned to find the module. However, if the name includes at
62 least one directory character, then the search path is not
63 scanned and the name is assumed to be a complete file name.
65 -s Stop. This will cause the simulation to stop in the beginning,
66 before any events are scheduled. This allows the interactive
67 user to get hold of the simulation just before it starts.
69 -v Turn on verbose messages. This will cause information about run
70 time progress to be printed to standard out.
73 EXTENDED ARGUMENTS
74 The vvp options described above must come before the design file name.
75 After the design file name, however, there may be any number of unspec-
76 ified arguments. These arguments are not interpreted by vvp but are
77 instead passed on to the executed design, and are available via the
78 $test$plusargs and $value$plusargs system functions.
80 Arguments that do not start with the plus(+) character are not avail-
81 able to the $plusargs system tasks, but can still be accessed via PLI
82 code via the vpi_get_vlog_info function. This means that vpi modules
83 may use arguments that do not start with + and be assured that they do
84 not interfere with user defined plus-args.
86 There are a few extended arguments that are interpreted by the standard
87 system.vpi module, which implements the standard system tasks and so is
88 always included. These arguments are described here.
90 -vcd|-vcd-none
91 This extended argument sets the wave dump format to VCD. This
92 is the default in the absence of any IVERILOG_DUMPER environ-
93 ment variable. The VCD dump files are large and ponderous, but
94 are also maximally compatible with third party tools that read
95 waveform dumps.
97 The -vcd-none variant actually suppresses all waveform output. This can
98 make long simulations run faster.
101 -lxt|-lxt-speed|-lxt-space|-lxt-none
102 These extended arguments set the wave dump format to lxt, pos-
103 sibly with format optimizations. The -lxt-space flag sets the
104 output format to lxt with full compression enabled. The result-
105 ing files are quite small. The -lxt-speed chooses the lxt com-
106 pression mode that leads to the best execution time and the
107 fastest read time, at the expense of some file size.
109 The -lxt-none variant actually suppresses all waveform output. This can
110 make long simulations run faster.
113 -lxt2 The LXT2 format is slower then LXT (faster then VCD) but takes
114 less space, and is written out incrementally. Thus, you can
115 view lxt2 files while a simulation is still running (or paused)
116 or if your simulation crashes or is killed, you still have a
117 useful dump.
120 ENVIRONMENT
121 The vvp command also accepts some environment variables that control
122 its behavior. These can be used to make semi-permanent changes.
125 IVERILOG_DUMPER=lxt|lxt2|vcd|none
126 This selects the output format for the waveform output. Nor-
127 mally, waveforms are dumped in vcd format, but this variable
128 can be used to select lxt format, which is far more compact,
129 though limited to gtkwave or compatible viewers. It can also be
130 used to suppress VCD output, a time-saver for regression tests.
133 INTERACTIVE MODE
134 The simulation engine supports an interactive mode. The user may inter-
135 rupt the simulation (typically by typing Ctrl-C) to get to the interac-
136 tive prompt. From that prompt, the help command prints a brief summary
137 of the available commands.
139 The interactive mode may also be entered by a call to the $stop system
140 task from within the simulation, or by a call to the vpi_control VPI
141 function with the vpiStop control argument. These means of entering
142 interactive mode are equivalent.
145 AUTHOR
146 Steve Williams (steve@icarus.com)
149 SEE ALSO
150 iverilog(1), iverilog-vpi(1), &lt;http://www.icarus.com/eda/verilog/&gt;
153 COPYRIGHT
154 Copyright © 2001-2003 Stephen Williams
156 This document can be freely redistributed according to the terms of the
157 GNU General Public License version 2.0
161 Version $Date: 2005/01/29 06:28:19 $ vvp(1)</pre>
163 </div>
164 </body>
165 </html>