Stay in GETCOORDS if there are more coord prompts.
[geda-gaf/berndj.git] / symbols / vhdl / nand9-1.sym
blobb6291b33f0de61ae7d6c3aaa1ad482913e7903fb
1 v 20031231 1
2 L 300 1200 700 1200 3 0 0 0 -1 -1
3 L 300 600 700 600 3 0 0 0 -1 -1
4 L 300 600 300 1200 3 0 0 0 -1 -1
5 A 700 900 300 270 180 3 0 0 0 -1 -1
6 L 300 1200 300 1800 3 0 0 0 -1 -1
7 L 300 600 300 0 3 0 0 0 -1 -1
8 V 1050 900 50 6 0 0 0 -1 -1 0 0 -1 -1 -1 -1
9 P 1100 900 1300 900 1 0 1
11 T 1000 900 5 8 0 0 0 0 1
12 pinnumber=OUT0
13 T 1000 900 5 8 0 0 0 0 1
14 pinseq=1
15 T 1000 900 5 8 0 0 0 0 1
16 pintype=OUT
18 P 300 100 0 100 1 0 1
20 T 300 100 5 8 0 0 0 0 1
21 pinnumber=IN0
22 T 300 100 5 8 0 0 0 0 1
23 pinseq=2
24 T 300 100 5 8 0 0 0 0 1
25 pintype=IN
27 P 300 300 0 300 1 0 1
29 T 300 300 5 8 0 0 0 0 1
30 pinnumber=IN1
31 T 300 300 5 8 0 0 0 0 1
32 pinseq=3
33 T 300 300 5 8 0 0 0 0 1
34 pintype=IN
36 P 300 500 0 500 1 0 1
38 T 300 500 5 8 0 0 0 0 1
39 pinnumber=IN2
40 T 300 500 5 8 0 0 0 0 1
41 pinseq=4
42 T 300 500 5 8 0 0 0 0 1
43 pintype=IN
45 P 300 700 0 700 1 0 1
47 T 300 700 5 8 0 0 0 0 1
48 pinnumber=IN3
49 T 300 700 5 8 0 0 0 0 1
50 pinseq=5
51 T 300 700 5 8 0 0 0 0 1
52 pintype=IN
54 P 300 900 0 900 1 0 1
56 T 300 900 5 8 0 0 0 0 1
57 pinnumber=IN4
58 T 300 900 5 8 0 0 0 0 1
59 pinseq=6
60 T 300 900 5 8 0 0 0 0 1
61 pintype=IN
63 P 300 1100 0 1100 1 0 1
65 T 300 1100 5 8 0 0 0 0 1
66 pinnumber=IN5
67 T 300 1100 5 8 0 0 0 0 1
68 pinseq=7
69 T 300 1100 5 8 0 0 0 0 1
70 pintype=IN
72 P 300 1300 0 1300 1 0 1
74 T 300 1300 5 8 0 0 0 0 1
75 pinnumber=IN6
76 T 300 1300 5 8 0 0 0 0 1
77 pinseq=8
78 T 300 1300 5 8 0 0 0 0 1
79 pintype=IN
81 P 300 1500 0 1500 1 0 1
83 T 300 1500 5 8 0 0 0 0 1
84 pinnumber=IN7
85 T 300 1500 5 8 0 0 0 0 1
86 pinseq=9
87 T 300 1500 5 8 0 0 0 0 1
88 pintype=IN
90 P 300 1700 0 1700 1 0 1
92 T 300 1700 5 8 0 0 0 0 1
93 pinnumber=IN8
94 T 300 1700 5 8 0 0 0 0 1
95 pinseq=10
96 T 300 1700 5 8 0 0 0 0 1
97 pintype=IN
99 T 400 500 5 10 1 1 0 2 1
100 refdes=U?
101 T 400 100 5 8 0 0 0 0 1
102 device=nand9
103 T 400 200 5 8 0 0 0 0 1
104 VERILOG_PORTS=POSITIONAL