Update for Plugin Preferences keybinding.
[geany-mirror.git] / data / filetypes.nsis
blob75c1cf91949a8fab79815c5de988c7f5f78e144b
1 # For complete documentation of this file, please see Geany's main documentation
2 [styling]
3 # foreground;background;bold;italic
4 default=0x000000;0xffffff;false;false;
5 comment=0x808080;0xffffff;false;false;
6 stringdq=0xff901e;0xffffff;false;false;
7 stringlq=0x008000;0xffffff;false;false;
8 stringrq=0x008000;0xffffff;false;false;
9 function=0x00007f;0xffffff;false;false;
10 variable=0x991111;0xffffff;false;false;
11 label=0x007f7f;0xffffff;false;false;
12 userdefined=0x0000d0;0xffffff;true;false;
13 sectiondef=0x00007f;0xffffff;true;false;
14 subsectiondef=0x00007f;0xffffff;true;false;
15 ifdefinedef=0x00007f;0xffffff;true;false;
16 macrodef=0x00007f;0xffffff;true;false;
17 stringvar=0x991111;0xffffff;false;false;
18 number=0x007f00;0xffffff;false;false;
19 sectiongroup=0x00007f;0xffffff;true;false;
20 pageex=0x00007f;0xffffff;true;false;
21 functiondef=0x00007f;0xffffff;true;false;
22 commentbox=0x808080;0xffffff;false;false;
25 [keywords]
26 # all items must be in one line and in lowercase
27 functions=abort addbrandingimage !addincludedir !addincludedirdir !addplugindir addsize addsizesize_to_add_to_section_in_kb allowrootdirinstall allowskipfiles !appendfile autoclosewindow bgfont bggradient brandingtext bringtofront call callinstdll caption captioninstaller_caption !cd changeui checkbitmap clearerrors completedtext componenttext contributors: copyfiles crccheck createdirectory createdirectorydirectory_name createfont createshortcut !define delete deleteinisec deleteinistr deleteregkey deleteregvalue !delfilefile detailprint detailprintmessage detailsbuttontext dirshow dirtext dirvar dirverify dirverifyauto !echo !echomessage !else enablewindow !endif enumregkey enumregvalue !error exch exec execshell !execute execwait expandenvstrings file filebufsize fileclose fileerrortext fileopen fileread filereadbyte fileseek filewrite filewritebyte findclose findfirst findnext findwindow flushini function functionend function_name getcurinsttype getcurrentaddress getcurrentaddressoutput getdlgitem getdllversion getdllversionlocal geterrorlevel getfiletime getfiletimelocal getfullpathname getfunctionaddress getinstdirerror getlabeladdress gettempfilename goto gotolabel hidewindow icon !if ifabort !ifdef iferrors iffileexists !ifmacrodef !ifmacrondef !ifndef ifrebootflag ifsilent !include initpluginsdir !insertmacro installbuttontext installcolors installdir installdirregkey instprogressflags insttype insttypegettext insttypesettext intcmp intcmpu intfmt intop iswindow langstring langstringup licensebkcolor licensedata licenseforceselection licenselangstring licensetext loadlanguagefile lockwindow logset logtext !macro !macroend makensis messagebox miscbuttontext name none nop outfile !packhdr page pagecallbacks pageex pageexend pop portions push pushstring quit readenvstr readinistr readregdword readregstr reboot regdll rename requestexecutionlevel reservefile return rmdir !searchparse searchpath !searchreplace section sectionend sectiongetflags sectiongetinsttypes sectiongetsize sectiongettext sectiongroup sectiongroupend sectionin sectionsetflags sectionsetinsttypes sectionsetsize sectionsettext sendmessage setautoclose setbrandingimage setcompress setcompressionlevel setcompressor setcompressordictsize setctlcolors setcurinsttype setdatablockoptimize setdatesave setdetailsprint setdetailsview seterrorlevel seterrors setfileattributes setfont setoutpath setoverwrite setpluginunload setrebootflag setregview setshellvarcontext setsilent showinstdetails showuninstdetails showwindow silentinstall silentuninstall sleep spacetexts strcmp strcmps strcpy strlen subcaption subsection subsectionend !system !tempfilesymbol !undef uninstallbuttontext uninstallcaption uninstallexename uninstallicon uninstallsubcaption uninstalltext uninstpage unregdll var !verbose viaddversionkey viproductversion !warning windowicon writeinistr writeregbin writeregdword writeregexpandstr writeregstr writeuninstaller xpstyle
28 variables=$$ $` $' $" $0 $0, $1 $2 $3 $4 $5 $6 $7 $8 $9 $admintools $appdata $cdburn_area $cmdline $commonfiles $cookies $desktop $documents $exedir $favorites $fonts $history $hwndparent $instdir $internet_cache $language $music $nethood ${nsisdir} $outdir $pictures $pluginsdir $printhood $profile $programfiles $quicklaunch $\r $r0 $r1 $r2 $r3 $r4 $r5 $r6 $r7 $r8 $r9 $recent $resources $resources_localized $sendto $smprograms $smstartup $startmenu $sysdir $temp $templates $varname $videos $windir
29 lables=all alt alwaysoff archive auto both bottom bzip2 center colored components control current custom directory dlg_id ext false file_attribute_archive file_attribute_hidden file_attribute_normal file_attribute_offline file_attribute_readonly file_attribute_system file_attribute_temporary filesonly force hidden hide hkcc hkcr hkcu hkdd hkey_classes_root hkey_current_config hkey_current_user hkey_dyn_data hkey_local_machine hkey_performance_data hkey_users hklm hkpd hku idabort idcancel idignore idno idok idretry idyes ifdiff ifnewer instfiles italic lastused leave left license listonly lzma manual mb_abortretryignore mb_defbutton1 mb_defbutton2 mb_defbutton3 mb_defbutton4 mb_iconexclamation mb_iconinformation mb_iconquestion mb_iconstop mb_ok mb_okcancel mb_retrycancel mb_right mb_setforeground mb_topmost mb_yesno mb_yesnocancel nevershow none nonfatal normal of off offline on open print readonly rebootok right shctx shift show silent silentlog smooth strike sw_hide sw_showmaximized sw_showmaximized sw_showminimized sw_showminimized sw_showminnoactive sw_showna sw_shownoactivate sw_shownormal sw_shownormal system temporary textonly top trim true try underline uninstconfirm zlib
30 userdefined=
33 [settings]
34 # default extension used when saving files
35 #extension=nsi
37 # the following characters are these which a "word" can contains, see documentation
38 #wordchars=_abcdefghijklmnopqrstuvwxyzABCDEFGHIJKLMNOPQRSTUVWXYZ0123456789
40 # if only single comment char is supported like # in this file, leave comment_close blank
41 comment_open=;
42 comment_close=
44 # set to false if a comment character/string should start at column 0 of a line, true uses any
45 # indentation of the line, e.g. setting to true causes the following on pressing CTRL+d
46 #command_example();
47 # setting to false would generate this
48 # command_example();
49 # This setting works only for single line comments
50 comment_use_indent=true
52 # context action command (please see Geany's main documentation for details)
53 context_action_cmd=
55 [build_settings]
56 # %f will be replaced by the complete filename
57 # %e will be replaced by the filename without extension
58 # (use only one of it at one time)
59 compiler=makensis "%f"
60 run_cmd="./%e"