Update NEWS preparing for next release
[geany-mirror.git] / data / filedefs / filetypes.verilog
blob8a43149631f7c38b70e0e0dd3caaf2aeaac6c9aa
1 # For complete documentation of this file, please see Geany's main documentation
2 [styling]
3 # Edit these in the colorscheme .conf file instead
4 default=default
5 comment=comment
6 comment_line=comment_line
7 comment_line_bang=comment_line
8 number=number_1
9 word=keyword_1
10 word2=keyword_2
11 word3=keyword_3
12 string=string_1
13 preprocessor=preprocessor
14 operator=operator
15 identifier=identifier_1
16 stringeol=string_eol
17 userword=type
18 comment_word=comment_doc_keyword
19 input=keyword_4
20 output=keyword_4
21 inout=keyword_4
22 port_connect=keyword_4
24 [keywords]
25 # all items must be in one line
26 word=always and assign attribute begin buf bufif0 bufif1 case casex casez cmos deassign default defparam disable edge else end endattribute endcase endfunction endmodule endprimitive endspecify endtable endtask event for force forever fork function highz0 highz1 if ifnone initial join medium module large macromodule nand negedge nmos nor not notif0 notif1 or parameter pmos posedge primitive pull0 pull1 pulldown pullup rcmos realtime release repeat rnmos rpmos rtran rtranif0 rtranif1 scalared signed small specify specparam strength strong0 strong1 supply0 supply1 table task tran tranif0 tranif1 tri tri0 tri1 triand trior trireg unsigned vectored wait wand weak0 weak1 while wor xnor xor @
27 word2=$display $write $fdisplay $fwrite $strobe $fstrobe $monitor $fmonitor $time $realtime $finish $stop $setup $hold $width $setuphold $readmemb $readmemh $sreadmemb $sreadmemh $getpattern $history $save $restart $incsave $shm_open $shm_probe $shm_close $scale $showscopes $showvars
28 word3=real integer time reg wire input output inout
29 docComment=
31 [settings]
32 # default extension used when saving files
33 extension=v
35 # MIME type
36 mime_type=text/x-verilog
38 # the following characters are these which a "word" can contains, see documentation
39 #wordchars=_abcdefghijklmnopqrstuvwxyzABCDEFGHIJKLMNOPQRSTUVWXYZ0123456789
41 # single comments, like # in this file
42 comment_single=//
43 # multiline comments
44 comment_open=/*
45 comment_close=*/
47 # set to false if a comment character/string should start at column 0 of a line, true uses any
48 # indentation of the line, e.g. setting to true causes the following on pressing CTRL+d
49 #command_example();
50 # setting to false would generate this
51 # command_example();
52 # This setting works only for single line comments
53 comment_use_indent=true
55 # context action command (please see Geany's main documentation for details)
56 context_action_cmd=
58 [indentation]
59 #width=4
60 # 0 is spaces, 1 is tabs, 2 is tab & spaces
61 #type=1