Save configuration when plugin manager dialog is closed
[geany-mirror.git] / data / filetypes.Go.conf
blob9ecbe5bfd67f2e94b25ceebb494796dbf55651a2
1 # For complete documentation of this file, please see Geany's main documentation
3 [styling=C]
5 [keywords]
6 # all items must be in one line
7 primary=break case chan const continue default defer else fallthrough for func go goto if import interface map package range return select struct switch type var
8 secondary=byte bool rune int int8 int16 int32 int64 uint uint8 uint16 uint32 uint64 float32 float64 complex64 complex128 uintptr string
10 # these are the Doxygen keywords
11 docComment=a addindex addtogroup anchor arg attention author authors b brief bug c callergraph callgraph category cite class code cond copybrief copydetails copydoc copyright date def defgroup deprecated details dir dontinclude dot dotfile e else elseif em endcode endcond enddot endhtmlonly endif endinternal endlatexonly endlink endmanonly endmsc endrtfonly endverbatim endxmlonly enum example exception extends file fn headerfile hideinitializer htmlinclude htmlonly if ifnot image implements include includelineno ingroup interface internal invariant latexonly li line link mainpage manonly memberof msc mscfile n name namespace nosubgrouping note overload p package page par paragraph param post pre private privatesection property protected protectedsection protocol public publicsection ref related relatedalso relates relatesalso remark remarks result return returns retval rtfonly sa section see short showinitializer since skip skipline snippet struct subpage subsection subsubsection tableofcontents test throw throws todo tparam typedef union until var verbatim verbinclude version warning weakgroup xmlonly xrefitem
13 [lexer_properties=C]
15 [settings]
16 # default extension used when saving files
17 extension=go
18 lexer_filetype=C
20 # the following characters are these which a "word" can contains, see documentation
21 #wordchars=_abcdefghijklmnopqrstuvwxyzABCDEFGHIJKLMNOPQRSTUVWXYZ0123456789
23 # single comments, like # in this file
24 comment_single=//
25 # multiline comments
26 comment_open=/*
27 comment_close=*/
29 # set to false if a comment character/string should start at column 0 of a line, true uses any
30 # indentation of the line, e.g. setting to true causes the following on pressing CTRL+d
31         #command_example();
32 # setting to false would generate this
33 #       command_example();
34 # This setting works only for single line comments
35 comment_use_indent=true
37 # context action command (please see Geany's main documentation for details)
38 context_action_cmd=
40 [indentation]
41 #width=4
42 # 0 is spaces, 1 is tabs, 2 is tab & spaces
43 #type=1
45 [build-menu]
46 FT_00_LB=_Build
47 FT_00_CM=go build %f
48 FT_00_WD=
49 FT_02_LB=Te_st
50 FT_02_CM=go test
51 FT_02_WD=
52 EX_00_LB=_Run
53 EX_00_CM=go run %f
54 EX_00_WD=