Fix "Contributing to this document" for new HTML/PDF generation process
[geany-mirror.git] / data / filetypes.freebasic
blobac51ef821d3f34cfcd444a350d771d6ceb44b53b
1 # For complete documentation of this file, please see Geany's main documentation
2 [styling]
3 # Edit these in the colorscheme .conf file instead
4 default=default
5 comment=comment_line
6 commentblock=comment
7 docline=comment_line_doc
8 docblock=comment_doc
9 dockeyword=comment_doc_keyword
10 number=number_1
11 word=keyword_1
12 string=string_1
13 preprocessor=preprocessor
14 operator=operator
15 identifier=identifier_1
16 date=number_2
17 stringeol=string_eol
18 word2=keyword_2
19 word3=keyword_3
20 word4=keyword_4
21 constant=identifier_2
22 asm=type
23 label=label
24 error=error
25 hexnumber=number_1
26 binnumber=number_1
28 [keywords]
29 # all items must be in one line
30 keywords=abs access acos alias allocate alpha and any append as assert assertwarn asc asin asm atan2 atn base beep bin binary bit bitreset bitset bload bsave byref byte byval call callocate case cast cbyte cdbl cdecl chain chdir chr cint circle class clear clng clngint close cls color com cons command common condbroadcast condcreate conddestroy condsignal condwait const constructor continue cos cptr cshort csign csng csrlin cubyte cuint culng culngint cunsg curdir cushort custom cvd cvi cvl cvlongint cvs cvshort data date dateadd datediff datepart dateserial datevalue day deallocate declare defbyte defdbl defint deflng deflngint defshort defsng defstr defubyte defuint defulngint defushort delete destructor dim dir do double draw dynamic dylibfree dylibload dylibsymbol else elseif encoding end enum environ escape eof eqv erase erfn erl ermn err error exec exepath exit exp explicit export extern false fboolean field fileattr filecopy filedatetime fileexists filelen fix flip for format frac fre freefile function get getjoystick getkey getmouse gosub goto  hex hibyte hiword hour  if iif imageconvertrow imagecreate imagedestroy imp import inkey inp input input$ instr int integer is isdate  kill  lbound lcase left len let lib lpt line lobyte loc local locate lock lof log long longint loop loword lpos lprint lset ltrim mid minute mkd mkdir mki mkl mklongint mks mkshort mod month monthname multikey mutexcreate mutexdestroy mutexlock mutexunlock name namespace nokeyword next new not now oct offsetof on once open option operator or out output overload paint palette pascal pcopy peek pipe pmap point pointer poke pos preserve preset print private procptr property protected pset ptr public put random randomize read reallocate redim rem reset restore resume return rgb rgba right rmdir rnd rset rtrim run sadd scope scrn screen screencopy screencontrol screenevent screeninfo screenglproc screenlist screenlock screenptr screenres screenset screensync screenunlock second seek select setdate setenviron setmouse settime sgn shared shell short sin single sizeof sleep space spc sqr static stdcall step stop str string strptr sub swap system shr shl tab tan then this threadcreate threadwait time timeserial timevalue timer to trans trim true type ubound ubyte ucase uinteger ulong ulongint union unlock unsigned until ushort using va_arg va_first va_next val vallng valint valuint valulng var varptr view wait wbin wchr weekday weekdayname wend while whex width window windowtitle winput with woct write wspace wstr wstring xor year zstring
31 preprocessor=#define defined typeof #dynamic #else #endif #error #if #ifdef #ifndef #inclib #include #print #static #undef #macro #endmacro #elseif #libpath #pragma
32 # user definable keywords
33 user1=
34 user2=
36 [settings]
37 # default extension used when saving files
38 extension=bas
40 # the following characters are these which a "word" can contains, see documentation
41 #wordchars=_abcdefghijklmnopqrstuvwxyzABCDEFGHIJKLMNOPQRSTUVWXYZ0123456789
43 # single comments, like # in this file
44 comment_single='
45 # multiline comments
46 comment_open=/'
47 comment_close='/
49 # set to false if a comment character/string should start at column 0 of a line, true uses any
50 # indentation of the line, e.g. setting to true causes the following on pressing CTRL+d
51         #command_example();
52 # setting to false would generate this
53 #       command_example();
54 # This setting works only for single line comments
55 comment_use_indent=true
57 # context action command (please see Geany's main documentation for details)
58 context_action_cmd=
60 [indentation]
61 #width=4
62 # 0 is spaces, 1 is tabs, 2 is tab & spaces
63 #type=1
65 [build_settings]
66 # %f will be replaced by the complete filename
67 # %e will be replaced by the filename without extension
68 # (use only one of it at one time)
69 compiler=fbc -w all "%f"
70 run_cmd="./%e"