Add missing entry for 0.19.1 release.
[geany-mirror.git] / data / filetypes.d
blobdd607eec6568124fedbe9065d50532dfe0bba013
1 # For complete documentation of this file, please see Geany's main documentation
2 [styling]
3 # foreground;background;bold;italic
4 default=default
5 comment=comment
6 commentline=comment
7 commentdoc=commentdoc
8 commentdocnested=commentdoc
9 number=number
10 word=word
11 word2=word2
12 word3=word2
13 typedef=type
14 string=string
15 stringeol=stringeol
16 character=string
17 operator=operator
18 identifier=default
19 commentlinedoc=commentdoc,bold
20 commentdockeyword=commentdoc,bold,italic
21 commentdockeyworderror=commentdoc
23 [keywords]
24 # all items must be in one line
25 primary=__FILE__ __LINE__ __DATA__ __TIME__ __TIMESTAMP__ abstract alias align asm assert auto body bool break byte case cast catch cdouble cent cfloat char class const continue creal dchar debug default delegate delete deprecated do double else enum export extern false final finally float for foreach function goto idouble if ifloat import in inout int interface invariant ireal is long mixin module new null out override package pragma private protected public real return scope short static struct super switch synchronized template this throw true try typedef typeof ubyte ucent uint ulong union unittest ushort version void volatile wchar while with
26 secondary=
27 # documentation keywords for D, currently not working
28 docComment=Authors Bugs Copyright Date Deprecated Examples History License Macros Params Returns See_Also Standards Throws Version
29 types=
31 [settings]
32 # default extension used when saving files
33 #extension=d
35 # the following characters are these which a "word" can contains, see documentation
36 #wordchars=_abcdefghijklmnopqrstuvwxyzABCDEFGHIJKLMNOPQRSTUVWXYZ0123456789
38 # if only single comment char is supported like # in this file, leave comment_close blank
39 comment_open=//
40 comment_close=
41 # this is an alternative way, so multiline comments are used
42 #comment_open=/*
43 #comment_close=*/
44 # this is alternative way, so multiline comments are used
45 #comment_open=/+
46 #comment_close=+/
48 # set to false if a comment character/string should start at column 0 of a line, true uses any
49 # indentation of the line, e.g. setting to true causes the following on pressing CTRL+d
50 #command_example();
51 # setting to false would generate this
52 # command_example();
53 # This setting works only for single line comments
54 comment_use_indent=true
56 # context action command (please see Geany's main documentation for details)
57 context_action_cmd=
59 [build_settings]
60 # %f will be replaced by the complete filename
61 # %e will be replaced by the filename without extension
62 # (use only one of it at one time)
63 compiler=dmd -w -c "%f"
64 linker=dmd -w -of"%e" "%f"
65 # you can also use the gdc compiler, please use the "gdmd" wrapper script(included with gdc)
66 #compiler=gdmd -w -c "%f"
67 #linker=gdmd -w -of"%e" "%f"
69 run_cmd="./%e"