plugins: change return codes of geany_load_module() and GeanyPluginFuncs::init
[geany-mirror.git] / tests / ctags / structure.f
blobffe8f7e1b8901a222baed50c5b018c23e009ca80
1 program uninitialized_structure
2 structure /weather/
3 integer month, day, year
4 character*20 clouds
5 real rainfall
6 end structure
7 record /weather/ latest
8 end
10 program initialized_structure
11 structure /weather/
12 integer*1 month /08/, day /10/, year /89/
13 character*20 clouds /' overcast'/
14 real rainfall /3.12/
15 end structure
16 record /weather/ latest
17 print *, latest.month, latest.day, latest.year,
18 + latest.clouds, latest.rainfall
19 end program
21 program nested_structure
22 structure /top/
23 structure /nested/ level2
24 structure level3a, level3b
25 integer a
26 end structure
27 end structure
28 end structure
29 end program