plugins: change return codes of geany_load_module() and GeanyPluginFuncs::init
[geany-mirror.git] / tests / ctags / static_array.c
blob25a21f3251d3309570402e35ec7666fc9d3c789a
1 /* Demonstrates temporary bug caused by statement reinitialization */
2 static wchar_t charset2uni[256] = {
3 };