plugins: change return codes of geany_load_module() and GeanyPluginFuncs::init
[geany-mirror.git] / tests / ctags / simple.cbl.tags
blob07ce90d80d9e35d6e920c7f3bc541713883d5be7
1 # format=tagmanager
2 AUTHORÌ65536Ö0
3 BeginÌ65536Ö0
4 DW-DAYS-IN-MONTHÌ16384Ö0
5 DW-DAYS-IN-MONTHSÌ16384Ö0
6 Data-Item1Ì16384Ö0
7 FILEÌ256Ö0
8 FILE-CONTROLÌ65536Ö0
9 File-Data-ItemÌ16384Ö0
10 File-Group-NameÌ2048Ö0
11 File-NameÌ16Ö0
12 Group-NameÌ2048Ö0
13 INPUT-OUPUTÌ256Ö0
14 PROGRAM-IDÌ65536Ö0
15 Program-NameÌ1Ö0
16 SH-WORK-MMDDYYYYÌ16384Ö0
17 SH-WORK-MMDDYYYY-2Ì16384Ö0
18 Subprogram-NameÌ65536Ö0
19 WORKING-STORAGEÌ256Ö0