plugins: change return codes of geany_load_module() and GeanyPluginFuncs::init
[geany-mirror.git] / tests / ctags / macros.c.tags
blobaf4b1518474d117311ca8c7d9e6b1e03a23fcf9b
1 # format=tagmanager
2 FUNCTION_LIKEÌ131072Í(a,b)Ö0
3 VARIABLE_LIKEÌ65536Ö0
4 WeakSymbolÌ65536Ö0
5 fooÌ16384Ö0ÏMACRO
6 prototypeÌ1024Í((int arg1, void *arg2))Ö0Ïvoid