plugins: change return codes of geany_load_module() and GeanyPluginFuncs::init
[geany-mirror.git] / tests / ctags / keyword_virtual.cs.tags
blob87ee7150ca5058de2d2562382da8a9a38b485f3c
1 # format=tagmanager
2 AreaÌ128Í()ÎTestClass.CircleÖ0Ïpublic override double
3 AreaÌ128Í()ÎTestClass.CylinderÖ0Ïpublic override double
4 AreaÌ128Í()ÎTestClass.DimensionsÖ0Ïpublic virtual double
5 AreaÌ128Í()ÎTestClass.SphereÖ0Ïpublic override double
6 CircleÌ1ÎTestClassÖ0
7 CircleÌ128Í(double r)ÎTestClass.CircleÖ0
8 CylinderÌ1ÎTestClassÖ0
9 CylinderÌ128Í(double r, double h)ÎTestClass.CylinderÖ0
10 DimensionsÌ1ÎTestClassÖ0
11 DimensionsÌ128Í()ÎTestClass.DimensionsÖ0
12 DimensionsÌ128Í(double x, double y)ÎTestClass.DimensionsÖ0
13 MainÌ128Í()ÎTestClassÖ0Ïpublic void
14 SphereÌ1ÎTestClassÖ0
15 SphereÌ128Í(double r)ÎTestClass.SphereÖ0
16 TestClassÌ1Ö0
17 piÌ8ÎTestClass.DimensionsÖ0Ïdouble
18 xÌ8ÎTestClass.DimensionsÖ0Ïdouble
19 yÌ8ÎTestClass.DimensionsÖ0Ïdouble