plugins: change return codes of geany_load_module() and GeanyPluginFuncs::init
[geany-mirror.git] / tests / ctags / bug858165.f90
blob54828d59e7b4f1587e0f5760db92c5eefd776e3a
1 ! Bugs item #858165, was opened at 2003-12-11 10:09
2 ! Message generated for change (Tracker Item Submitted) made by Item Submitter
3 ! You can respond by visiting:
4 ! https://sourceforge.net/tracker/?func=detail&atid=106556&aid=858165&group_id=6556
5 !
6 ! Category: None
7 ! Group: None
8 ! Status: Open
9 ! Resolution: None
10 ! Priority: 5
11 ! Submitted By: Blazej Krzeminski (blazk)
12 ! Assigned to: Nobody/Anonymous (nobody)
13 ! Summary: Fortran90: comment line after continuation character &
15 ! Initial Comment:
16 program test
18 integer :: a, & !comment on variable a
19 b, & !comment on variable b
20 !more comment on variable b, CTAGS STOPS HERE
21 c, & !comment on variable c
22 d !comment on variable d
23 end program test
25 ! ctags will index program test, a,b but not c,d