plugins: change return codes of geany_load_module() and GeanyPluginFuncs::init
[geany-mirror.git] / tests / ctags / bug507864.c
blob06de417c63a1000f4bf415bca5e7c9e5621ee53c
1 FUNCSTS func1(ENTSEQNO(seq)) {}
2 FUNCSTS func2 (MEMTXT(form_msg), MEMTXT (text), MEMTXT (mail)) {}