plugins: change return codes of geany_load_module() and GeanyPluginFuncs::init
[geany-mirror.git] / tests / ctags / bug1742588.rb
blob43b1a14b95eb626c24ab4942d3e6f1a1e9df72a4
1 class A
2  def a()
3   super(" do ")
4  end
5  def b()
6  end
7 end