plugins: change return codes of geany_load_module() and GeanyPluginFuncs::init
[geany-mirror.git] / tests / ctags / arraylist.js.tags
blob613b4e5045d25a5674373130d40d61855fc2de52
1 # format=tagmanager
2 aÌ16384Ö0
3 bÌ16384Ö0
4 barÌ64Îclass.test1Ö0
5 cÌ16384Ö0
6 classÌ1Í()Ö0
7 fooÌ64Îclass.test1Ö0
8 test1Ì1ÎclassÖ0
9 test3Ì128Í()ÎclassÖ0