Update displayed copyright years
[geany-mirror.git] / data / filetypes.glsl
blob597d238c4da9efde9262914e17547f3cf730a020
1 # For complete documentation of this file, please see Geany's main documentation
2 [styling=C]
4 [keywords]
5 # all items must be in one line
6 primary=if else switch case default for while do discard return break continue true false struct void bool int uint float vec2 vec3 vec4 ivec2 ivec3 ivec4 bvec2 bvec3 bvec4 uvec2 uvec3 uvec4 mat2 mat3 mat4 mat2x2 mat2x3 mat2x4 mat3x2 mat3x3 mat3x4 mat4x2 mat4x3 mat4x4 sampler1D sampler2D sampler3D samplerCube sampler1DShadow sampler2DShadow sampler1DArray sampler2DArray sampler1DArrayShadow sampler2DArrayShadow isampler1D isampler2D isampler3D isamplerCube isampler1DArray isampler2DArray usampler1D usampler2D usampler3D usamplerCube usampler1DArray usampler2DArray const invariant centroid in out inout attribute uniform varying smooth flat noperspective highp mediump lowp
7 secondary=
8 # these are some doxygen keywords (incomplete)
9 docComment=attention author brief bug class code date def enum example exception file fn namespace note param remarks return returns see since struct throw todo typedef var version warning union
11 [lexer_properties]
12 styling.within.preprocessor=1
13 lexer.cpp.track.preprocessor=0
14 preprocessor.symbol.$(file.patterns.cpp)=#
15 preprocessor.start.$(file.patterns.cpp)=if ifdef ifndef
16 preprocessor.middle.$(file.patterns.cpp)=else elif
17 preprocessor.end.$(file.patterns.cpp)=endif
19 [settings]
20 lexer_filetype=C
22 # default extension used when saving files
23 extension=glsl
25 # the following characters are these which a "word" can contains, see documentation
26 #wordchars=_abcdefghijklmnopqrstuvwxyzABCDEFGHIJKLMNOPQRSTUVWXYZ0123456789
28 # single comments, like # in this file
29 comment_single=//
30 # multiline comments
31 comment_open=/*
32 comment_close=*/
34 # set to false if a comment character/string should start at column 0 of a line, true uses any
35 # indentation of the line, e.g. setting to true causes the following on pressing CTRL+d
36         #command_example();
37 # setting to false would generate this
38 #       command_example();
39 # This setting works only for single line comments
40 comment_use_indent=true
42 # context action command (please see Geany's main documentation for details)
43 context_action_cmd=
45 [indentation]
46 #width=4
47 # 0 is spaces, 1 is tabs, 2 is tab & spaces
48 #type=1
50 [build_settings]
51 # %f will be replaced by the complete filename
52 # %e will be replaced by the filename without extension
53 # (use only one of it at one time)
54 #compiler=
55 #linker=
56 #run_cmd=