Reword "wordchars" description using the description from the manual
[geany-mirror.git] / data / filedefs / filetypes.smalltalk
blobc22f6cd3fd996003317b77f632de11f98aa30c30
1 # For complete documentation of this file, please see Geany's main documentation
2 [styling]
3 # Edit these in the colorscheme .conf file instead
4 default=default
5 special=operator
6 symbol=identifier_1
7 assignment=operator
8 return=operator
9 number=number_1
10 binary=operator
11 special_selector=keyword_3
12 keyword_send=keyword_2
13 global=class
14 self=keyword_1
15 super=keyword_1
16 nil=keyword_1
17 bool=keyword_1
18 comment=comment
19 string=string_1
20 character=character
22 [keywords]
23 # all items must be in one line
24 special_selector=ifTrue: ifFalse: whileTrue: whileFalse: ifNil: ifNotNil: whileTrue whileFalse repeat isNil notNil
26 [settings]
27 # default extension used when saving files
28 extension=st
30 # MIME type
31 mime_type=text/x-smalltalk
33 # these characters define word boundaries when making selections and searching
34 # using word matching options
35 #wordchars=abcdefghijklmnopqrstuvwxyzABCDEFGHIJKLMNOPQRSTUVWXYZ0123456789
37 # single comments, like # in this file
38 #comment_single=
39 # multiline comments
40 comment_open="
41 comment_close="
43 # set to false if a comment character/string should start at column 0 of a line, true uses any
44 # indentation of the line, e.g. setting to true causes the following on pressing CTRL+d
45 # #command_example();
46 # setting to false would generate this
47 # # command_example();
48 # This setting works only for single line comments
49 comment_use_indent=true
51 # context action command (please see Geany's main documentation for details)
52 context_action_cmd=
54 [indentation]
55 #width=4
56 # 0 is spaces, 1 is tabs, 2 is tab & spaces
57 #type=1