Reword "wordchars" description using the description from the manual
[geany-mirror.git] / data / filedefs / filetypes.freebasic
blobbf56b457dedb6447b898a2558ca487937f456654
1 # For complete documentation of this file, please see Geany's main documentation
2 [styling]
3 # Edit these in the colorscheme .conf file instead
4 default=default
5 comment=comment_line
6 commentblock=comment
7 docline=comment_line_doc
8 docblock=comment_doc
9 dockeyword=comment_doc_keyword
10 number=number_1
11 word=keyword_1
12 string=string_1
13 preprocessor=preprocessor
14 operator=operator
15 identifier=identifier_1
16 date=number_2
17 stringeol=string_eol
18 word2=keyword_2
19 word3=keyword_3
20 word4=keyword_4
21 constant=identifier_2
22 asm=type
23 label=label
24 error=error
25 hexnumber=number_1
26 binnumber=number_1
28 [keywords]
29 # all items must be in one line
30 keywords=abs access acos alias allocate alpha and andalso any append as asc asin asm assert assertwarn atan2 atn base beep bin binary bit bitreset bitset bload boolean bsave byref byte byval call callocate case cast cbyte cdbl cdecl chain chdir chr cint circle class clear clng clngint close cls color com command common condbroadcast condcreate conddestroy condsignal condwait cons const constructor continue cos cptr cshort csign csng csrlin cubyte cuint culng culngint cunsg curdir cushort custom cvd cvi cvl cvlongint cvs cvshort data date dateadd datediff datepart dateserial datevalue day deallocate declare defbyte defdbl defined defint deflng deflngint defshort defsng defstr defubyte defuint defulngint defushort delete destructor dim dir do double draw dylibfree dylibload dylibsymbol dynamic else elseif encoding end endif enum environ eof eqv erase erfn erl ermn err error escape exec exepath exit exp explicit export extends extern false fboolean field fileattr filecopy filedatetime fileexists filelen fix flip for format frac fre freefile function get getjoystick getkey getmouse gosub goto hex hibyte hiword hour if iif imageconvertrow imagecreate imagedestroy imp import inkey inp input input$ instr instrrev int integer interface is isdate kill lbound lcase left len let lib line lobyte loc local locate lock lof log long longint loop loword lpos lprint lpt lset ltrim mid minute mkd mkdir mki mkl mklongint mks mkshort mod month monthname multikey mutexcreate mutexdestroy mutexlock mutexunlock name namespace new next nokeyword not now object oct offsetof on once open operator option or orelse out output overload paint palette pascal pcopy peek pipe pmap point pointer poke pos preserve preset print private procptr property protected pset ptr public put random randomize read reallocate redim rem reset restore resume return rgb rgba right rmdir rnd rset rtrim run sadd scope screen screencontrol screencopy screenevent screenglproc screeninfo screenlist screenlock screenptr screenres screenset screensync screenunlock scrn second seek select setdate setenviron setmouse settime sgn shared shell shl short shr sin single sizeof sleep space spc sqr static stdcall step stop str string strptr sub swap system tab tan then this threadcreate threadwait time timer timeserial timevalue to trans trim true type typeof ubound ubyte ucase uinteger ulong ulongint union unlock unsigned until ushort using va_arg va_first va_next val valint vallng valuint valulng var varptr view virtual wait wbin wchr weekday weekdayname wend whex while width window windowtitle winput with woct write wspace wstr wstring xor year zstring
31 preprocessor=#assert #define defined #else #elseif #endif #endmacro #error #if #ifdef #ifndef #inclib #include #lang #libpath #line #macro once #pragma #print #static  #undef
32 # user definable keywords
33 user1=
34 user2=
36 [settings]
37 # default extension used when saving files
38 extension=bas
40 # these characters define word boundaries when making selections and searching
41 # using word matching options
42 #wordchars=_abcdefghijklmnopqrstuvwxyzABCDEFGHIJKLMNOPQRSTUVWXYZ0123456789
44 # single comments, like # in this file
45 comment_single='
46 # multiline comments
47 comment_open=/'
48 comment_close='/
50 # set to false if a comment character/string should start at column 0 of a line, true uses any
51 # indentation of the line, e.g. setting to true causes the following on pressing CTRL+d
52 #               #command_example();
53 # setting to false would generate this
54 # #             command_example();
55 # This setting works only for single line comments
56 comment_use_indent=true
58 # context action command (please see Geany's main documentation for details)
59 context_action_cmd=
61 [indentation]
62 #width=4
63 # 0 is spaces, 1 is tabs, 2 is tab & spaces
64 #type=1
66 [build_settings]
67 # %f will be replaced by the complete filename
68 # %e will be replaced by the filename without extension
69 # (use only one of it at one time)
70 compiler=fbc -w all "%f"
71 run_cmd="./%e"