initial
[fpgammix.git] / rtl / NiosDevKit-EP1C20 / fpgammix-cur.qsf
blobf7f53ec0d4045634cede73d06066590917069dae
1 # Copyright (C) 1991-2006 Altera Corporation\r
2 # Your use of Altera Corporation's design tools, logic functions \r
3 # and other software and tools, and its AMPP partner logic \r
4 # functions, and any output files any of the foregoing \r
5 # (including device programming or simulation files), and any \r
6 # associated documentation or information are expressly subject \r
7 # to the terms and conditions of the Altera Program License \r
8 # Subscription Agreement, Altera MegaCore Function License \r
9 # Agreement, or other applicable license agreement, including, \r
10 # without limitation, that your use is for the sole purpose of \r
11 # programming logic devices manufactured by Altera and sold by \r
12 # Altera or its authorized distributors.  Please refer to the \r
13 # applicable agreement for further details.\r
16 # The default values for assignments are stored in the file\r
17 #               main_assignment_defaults.qdf\r
18 # If this file doesn't exist, and for assignments not listed, see file\r
19 #               assignment_defaults.qdf\r
21 # Altera recommends that you do not modify this file. This\r
22 # file is updated automatically by the Quartus II software\r
23 # and any changes you make may be lost or overwritten.\r
26 set_global_assignment -name FAMILY Cyclone\r
27 set_global_assignment -name DEVICE EP1C20F400C7\r
28 set_global_assignment -name TOP_LEVEL_ENTITY fpgammix\r
29 set_global_assignment -name ORIGINAL_QUARTUS_VERSION 6.0\r
30 set_global_assignment -name PROJECT_CREATION_TIME_DATE "18:55:16  MAY 16, 2006"\r
31 set_global_assignment -name LAST_QUARTUS_VERSION "6.0 SP1"\r
32 set_global_assignment -name SIMULATION_MODE FUNCTIONAL\r
33 set_global_assignment -name FITTER_EFFORT "FAST FIT"\r
36 # Pin & Location Assignments\r
37 # ==========================\r
38 set_location_assignment PIN_W9 -to audio_l\r
39 set_location_assignment PIN_U10 -to audio_r\r
40 set_location_assignment PIN_H17 -to cf_a[0]\r
41 set_location_assignment PIN_J15 -to cf_a[10]\r
42 set_location_assignment PIN_H18 -to cf_a[1]\r
43 set_location_assignment PIN_H19 -to cf_a[2]\r
44 set_location_assignment PIN_W18 -to cf_a[3]\r
45 set_location_assignment PIN_K15 -to cf_a[4]\r
46 set_location_assignment PIN_J18 -to cf_a[5]\r
47 set_location_assignment PIN_J17 -to cf_a[6]\r
48 set_location_assignment PIN_J14 -to cf_a[7]\r
49 set_location_assignment PIN_H14 -to cf_a[8]\r
50 set_location_assignment PIN_J20 -to cf_a[9]\r
51 set_location_assignment PIN_J19 -to cf_bvd1\r
52 set_location_assignment PIN_J16 -to cf_bvd2\r
53 set_location_assignment PIN_B13 -to cf_cd1_n\r
54 set_location_assignment PIN_H20 -to cf_ce1_n\r
55 set_location_assignment PIN_U19 -to cf_ce2_n\r
56 set_location_assignment PIN_F20 -to cf_d[0]\r
57 set_location_assignment PIN_D20 -to cf_d[10]\r
58 set_location_assignment PIN_F17 -to cf_d[11]\r
59 set_location_assignment PIN_E18 -to cf_d[12]\r
60 set_location_assignment PIN_F16 -to cf_d[13]\r
61 set_location_assignment PIN_F19 -to cf_d[14]\r
62 set_location_assignment PIN_G16 -to cf_d[15]\r
63 set_location_assignment PIN_F15 -to cf_d[1]\r
64 set_location_assignment PIN_E19 -to cf_d[2]\r
65 set_location_assignment PIN_F18 -to cf_d[3]\r
66 set_location_assignment PIN_E17 -to cf_d[4]\r
67 set_location_assignment PIN_D17 -to cf_d[5]\r
68 set_location_assignment PIN_D18 -to cf_d[6]\r
69 set_location_assignment PIN_C18 -to cf_d[7]\r
70 set_location_assignment PIN_C19 -to cf_d[8]\r
71 set_location_assignment PIN_D19 -to cf_d[9]\r
72 set_location_assignment PIN_V19 -to cf_inpack_n\r
73 set_location_assignment PIN_G19 -to cf_iord_n\r
74 set_location_assignment PIN_G20 -to cf_iowr_n\r
75 set_location_assignment PIN_D13 -to cf_oe_n\r
76 set_location_assignment PIN_G17 -to cf_rdy\r
77 set_location_assignment PIN_U20 -to cf_reg_n\r
78 set_location_assignment PIN_G14 -to cf_wait_n\r
79 set_location_assignment PIN_V18 -to cf_we_n\r
80 set_location_assignment PIN_H16 -to cf_wp\r
81 set_location_assignment PIN_K5 -to clkin\r
82 set_location_assignment PIN_A14 -to enet_ads_n\r
83 set_location_assignment PIN_B15 -to enet_aen\r
84 set_location_assignment PIN_C16 -to enet_be_n[0]\r
85 set_location_assignment PIN_B16 -to enet_be_n[1]\r
86 set_location_assignment PIN_D16 -to enet_be_n[2]\r
87 set_location_assignment PIN_E16 -to enet_be_n[3]\r
88 set_location_assignment PIN_B17 -to enet_cycle_n\r
89 set_location_assignment PIN_C15 -to enet_datacs_n\r
90 set_location_assignment PIN_D15 -to enet_intrq0\r
91 set_location_assignment PIN_F14 -to enet_iochrdy\r
92 set_location_assignment PIN_A15 -to enet_ior_n\r
93 set_location_assignment PIN_E15 -to enet_iow_n\r
94 set_location_assignment PIN_C17 -to enet_lclk\r
95 set_location_assignment PIN_D3 -to enet_ldev_n\r
96 set_location_assignment PIN_B18 -to enet_rdyrtn_n\r
97 set_location_assignment PIN_A17 -to enet_w_r_n\r
98 set_location_assignment PIN_A12 -to flash_cs_n\r
99 set_location_assignment PIN_B12 -to flash_oe_n\r
100 set_location_assignment PIN_D12 -to flash_rw_n\r
101 set_location_assignment PIN_C12 -to flash_ry_by_n\r
102 set_location_assignment PIN_B4 -to fse_a[0]\r
103 set_location_assignment PIN_E4 -to fse_a[10]\r
104 set_location_assignment PIN_E5 -to fse_a[11]\r
105 set_location_assignment PIN_F3 -to fse_a[12]\r
106 set_location_assignment PIN_E3 -to fse_a[13]\r
107 set_location_assignment PIN_E2 -to fse_a[14]\r
108 set_location_assignment PIN_F4 -to fse_a[15]\r
109 set_location_assignment PIN_F5 -to fse_a[16]\r
110 set_location_assignment PIN_F2 -to fse_a[17]\r
111 set_location_assignment PIN_F1 -to fse_a[18]\r
112 set_location_assignment PIN_F6 -to fse_a[19]\r
113 set_location_assignment PIN_A4 -to fse_a[1]\r
114 set_location_assignment PIN_G5 -to fse_a[20]\r
115 set_location_assignment PIN_G1 -to fse_a[21]\r
116 set_location_assignment PIN_G2 -to fse_a[22]\r
117 set_location_assignment PIN_D5 -to fse_a[2]\r
118 set_location_assignment PIN_D6 -to fse_a[3]\r
119 set_location_assignment PIN_C5 -to fse_a[4]\r
120 set_location_assignment PIN_B5 -to fse_a[5]\r
121 set_location_assignment PIN_C2 -to fse_a[6]\r
122 set_location_assignment PIN_D2 -to fse_a[7]\r
123 set_location_assignment PIN_D4 -to fse_a[8]\r
124 set_location_assignment PIN_D1 -to fse_a[9]\r
125 set_location_assignment PIN_C6 -to fse_d[0]\r
126 set_location_assignment PIN_F8 -to fse_d[10]\r
127 set_location_assignment PIN_E8 -to fse_d[11]\r
128 set_location_assignment PIN_B8 -to fse_d[12]\r
129 set_location_assignment PIN_A8 -to fse_d[13]\r
130 set_location_assignment PIN_D8 -to fse_d[14]\r
131 set_location_assignment PIN_C8 -to fse_d[15]\r
132 set_location_assignment PIN_B9 -to fse_d[16]\r
133 set_location_assignment PIN_A9 -to fse_d[17]\r
134 set_location_assignment PIN_D9 -to fse_d[18]\r
135 set_location_assignment PIN_C9 -to fse_d[19]\r
136 set_location_assignment PIN_E6 -to fse_d[1]\r
137 set_location_assignment PIN_E9 -to fse_d[20]\r
138 set_location_assignment PIN_E10 -to fse_d[21]\r
139 set_location_assignment PIN_B10 -to fse_d[22]\r
140 set_location_assignment PIN_A10 -to fse_d[23]\r
141 set_location_assignment PIN_F10 -to fse_d[24]\r
142 set_location_assignment PIN_C10 -to fse_d[25]\r
143 set_location_assignment PIN_D10 -to fse_d[26]\r
144 set_location_assignment PIN_C11 -to fse_d[27]\r
145 set_location_assignment PIN_D11 -to fse_d[28]\r
146 set_location_assignment PIN_B11 -to fse_d[29]\r
147 set_location_assignment PIN_B6 -to fse_d[2]\r
148 set_location_assignment PIN_A11 -to fse_d[30]\r
149 set_location_assignment PIN_E11 -to fse_d[31]\r
150 set_location_assignment PIN_A6 -to fse_d[3]\r
151 set_location_assignment PIN_F7 -to fse_d[4]\r
152 set_location_assignment PIN_E7 -to fse_d[5]\r
153 set_location_assignment PIN_B7 -to fse_d[6]\r
154 set_location_assignment PIN_A7 -to fse_d[7]\r
155 set_location_assignment PIN_D7 -to fse_d[8]\r
156 set_location_assignment PIN_C7 -to fse_d[9]\r
158 # The board labels these 0 .. 7, but I like the\r
159 # lower order bit on the left, thank you!\r
160 set_location_assignment PIN_E14 -to led[7]\r
161 set_location_assignment PIN_E13 -to led[6]\r
162 set_location_assignment PIN_C14 -to led[5]\r
163 set_location_assignment PIN_D14 -to led[4]\r
164 set_location_assignment PIN_E12 -to led[3]\r
165 set_location_assignment PIN_F12 -to led[2]\r
166 set_location_assignment PIN_B3 -to led[1]\r
167 set_location_assignment PIN_B14 -to led[0]\r
169 set_location_assignment PIN_G15 -to p1_a21\r
170 set_location_assignment PIN_H15 -to p1_a28\r
171 set_location_assignment PIN_G18 -to p1_a29\r
172 set_location_assignment PIN_U18 -to p1_a38\r
173 set_location_assignment PIN_P27 -to p1_clkout\r
174 set_location_assignment PIN_L14 -to pld_clkfb\r
175 set_location_assignment PIN_L8 -to pld_clkout\r
176 set_location_assignment PIN_K6 -to proto1_clkout\r
177 set_location_assignment PIN_K14 -to proto2_clkout\r
178 set_location_assignment PIN_Y10 -to ps2_kclk\r
179 set_location_assignment PIN_V10 -to ps2_kdata\r
180 set_location_assignment PIN_T10 -to ps2_mclk\r
181 set_location_assignment PIN_Y9 -to ps2_mdata\r
182 set_location_assignment PIN_W10 -to ps2_sel\r
183 set_location_assignment PIN_C4 -to reset_n\r
184 set_location_assignment PIN_U6 -to s7_0[0]\r
185 set_location_assignment PIN_V6 -to s7_0[1]\r
186 set_location_assignment PIN_W7 -to s7_0[2]\r
187 set_location_assignment PIN_Y7 -to s7_0[3]\r
188 set_location_assignment PIN_R7 -to s7_0[4]\r
189 set_location_assignment PIN_T8 -to s7_0[5]\r
190 set_location_assignment PIN_V7 -to s7_0[6]\r
191 set_location_assignment PIN_U7 -to s7_0[7]\r
192 set_location_assignment PIN_T5 -to s7_1[0]\r
193 set_location_assignment PIN_U5 -to s7_1[1]\r
194 set_location_assignment PIN_V5 -to s7_1[2]\r
195 set_location_assignment PIN_W5 -to s7_1[3]\r
196 set_location_assignment PIN_T6 -to s7_1[4]\r
197 set_location_assignment PIN_T7 -to s7_1[5]\r
198 set_location_assignment PIN_W6 -to s7_1[6]\r
199 set_location_assignment PIN_Y6 -to s7_1[7]\r
200 set_location_assignment PIN_M2 -to sd_a[0]\r
201 set_location_assignment PIN_H6 -to sd_a[10]\r
202 set_location_assignment PIN_H5 -to sd_a[11]\r
203 set_location_assignment PIN_M1 -to sd_a[1]\r
204 set_location_assignment PIN_M6 -to sd_a[2]\r
205 set_location_assignment PIN_M4 -to sd_a[3]\r
206 set_location_assignment PIN_J8 -to sd_a[4]\r
207 set_location_assignment PIN_J7 -to sd_a[5]\r
208 set_location_assignment PIN_J6 -to sd_a[6]\r
209 set_location_assignment PIN_J5 -to sd_a[7]\r
210 set_location_assignment PIN_J4 -to sd_a[8]\r
211 set_location_assignment PIN_J3 -to sd_a[9]\r
212 set_location_assignment PIN_H7 -to sd_ba[0]\r
213 set_location_assignment PIN_H1 -to sd_ba[1]\r
214 set_location_assignment PIN_G3 -to sd_cas_n\r
215 set_location_assignment PIN_G7 -to sd_cke\r
216 set_location_assignment PIN_L13 -to sd_clk\r
217 set_location_assignment PIN_G6 -to sd_cs_n\r
218 set_location_assignment PIN_M5 -to sd_dq[0]\r
219 set_location_assignment PIN_P7 -to sd_dq[10]\r
220 set_location_assignment PIN_P2 -to sd_dq[11]\r
221 set_location_assignment PIN_P1 -to sd_dq[12]\r
222 set_location_assignment PIN_P6 -to sd_dq[13]\r
223 set_location_assignment PIN_P5 -to sd_dq[14]\r
224 set_location_assignment PIN_P3 -to sd_dq[15]\r
225 set_location_assignment PIN_P4 -to sd_dq[16]\r
226 set_location_assignment PIN_R1 -to sd_dq[17]\r
227 set_location_assignment PIN_R2 -to sd_dq[18]\r
228 set_location_assignment PIN_R6 -to sd_dq[19]\r
229 set_location_assignment PIN_M3 -to sd_dq[1]\r
230 set_location_assignment PIN_R5 -to sd_dq[20]\r
231 set_location_assignment PIN_R3 -to sd_dq[21]\r
232 set_location_assignment PIN_R4 -to sd_dq[22]\r
233 set_location_assignment PIN_T4 -to sd_dq[23]\r
234 set_location_assignment PIN_T2 -to sd_dq[24]\r
235 set_location_assignment PIN_T3 -to sd_dq[25]\r
236 set_location_assignment PIN_U1 -to sd_dq[26]\r
237 set_location_assignment PIN_U4 -to sd_dq[27]\r
238 set_location_assignment PIN_U2 -to sd_dq[28]\r
239 set_location_assignment PIN_U3 -to sd_dq[29]\r
240 set_location_assignment PIN_M7 -to sd_dq[2]\r
241 set_location_assignment PIN_V3 -to sd_dq[30]\r
242 set_location_assignment PIN_V2 -to sd_dq[31]\r
243 set_location_assignment PIN_N6 -to sd_dq[3]\r
244 set_location_assignment PIN_N1 -to sd_dq[4]\r
245 set_location_assignment PIN_N2 -to sd_dq[5]\r
246 set_location_assignment PIN_N4 -to sd_dq[6]\r
247 set_location_assignment PIN_N3 -to sd_dq[7]\r
248 set_location_assignment PIN_N5 -to sd_dq[8]\r
249 set_location_assignment PIN_N7 -to sd_dq[9]\r
250 set_location_assignment PIN_J2 -to sd_dqm[0]\r
251 set_location_assignment PIN_J1 -to sd_dqm[1]\r
252 set_location_assignment PIN_H4 -to sd_dqm[2]\r
253 set_location_assignment PIN_H3 -to sd_dqm[3]\r
254 set_location_assignment PIN_H2 -to sd_ras_n\r
255 set_location_assignment PIN_G4 -to sd_we_n\r
256 set_location_assignment PIN_V17 -to sram_be_n[0]\r
257 set_location_assignment PIN_V16 -to sram_be_n[1]\r
258 set_location_assignment PIN_W16 -to sram_be_n[2]\r
259 set_location_assignment PIN_T16 -to sram_be_n[3]\r
260 set_location_assignment PIN_W17 -to sram_cs_n\r
261 set_location_assignment PIN_Y17 -to sram_oe_n\r
262 set_location_assignment PIN_U16 -to sram_we_n\r
263 set_location_assignment PIN_W3 -to sw[0]\r
264 set_location_assignment PIN_Y4 -to sw[1]\r
265 set_location_assignment PIN_V4 -to sw[2]\r
266 set_location_assignment PIN_W4 -to sw[3]\r
267 set_location_assignment PIN_J13 -to ttya_cts\r
268 set_location_assignment PIN_M16 -to ttya_dcd\r
269 set_location_assignment PIN_M20 -to ttya_dsr\r
270 set_location_assignment PIN_M15 -to ttya_dtr\r
271 set_location_assignment PIN_M19 -to ttya_ri\r
272 set_location_assignment PIN_K19 -to ttya_rts\r
273 set_location_assignment PIN_K16 -to ttya_rxd\r
274 set_location_assignment PIN_M14 -to ttya_txd\r
275 set_location_assignment PIN_C13 -to ttyb_rxd\r
276 set_location_assignment PIN_A13 -to ttyb_txd\r
277 set_location_assignment PIN_T12 -to vga_b[0]\r
278 set_location_assignment PIN_T11 -to vga_b[1]\r
279 set_location_assignment PIN_W12 -to vga_b[2]\r
280 set_location_assignment PIN_W8 -to vga_b[3]\r
281 set_location_assignment PIN_Y12 -to vga_b[4]\r
282 set_location_assignment PIN_Y8 -to vga_b[5]\r
283 set_location_assignment PIN_V9 -to vga_b[6]\r
284 set_location_assignment PIN_U9 -to vga_b[7]\r
285 set_location_assignment PIN_R14 -to vga_blank_n\r
286 set_location_assignment PIN_T15 -to vga_g[0]\r
287 set_location_assignment PIN_W15 -to vga_g[1]\r
288 set_location_assignment PIN_Y15 -to vga_g[2]\r
289 set_location_assignment PIN_U15 -to vga_g[3]\r
290 set_location_assignment PIN_V15 -to vga_g[4]\r
291 set_location_assignment PIN_V14 -to vga_g[5]\r
292 set_location_assignment PIN_U14 -to vga_g[6]\r
293 set_location_assignment PIN_Y14 -to vga_g[7]\r
294 set_location_assignment PIN_T9 -to vga_hs\r
295 set_location_assignment PIN_V11 -to vga_m1\r
296 set_location_assignment PIN_U11 -to vga_m2\r
297 set_location_assignment PIN_U12 -to vga_r[0]\r
298 set_location_assignment PIN_V12 -to vga_r[1]\r
299 set_location_assignment PIN_T13 -to vga_r[2]\r
300 set_location_assignment PIN_R13 -to vga_r[3]\r
301 set_location_assignment PIN_Y13 -to vga_r[4]\r
302 set_location_assignment PIN_W13 -to vga_r[5]\r
303 set_location_assignment PIN_U13 -to vga_r[6]\r
304 set_location_assignment PIN_V13 -to vga_r[7]\r
305 set_location_assignment PIN_T14 -to vga_sync_n\r
306 set_location_assignment PIN_W14 -to vga_sync_t\r
307 set_location_assignment PIN_R9 -to vga_vs\r
310 set_global_assignment -name RESERVE_ALL_UNUSED_PINS "AS INPUT TRI-STATED"\r
311 set_global_assignment -name ADV_NETLIST_OPT_SYNTH_WYSIWYG_REMAP ON\r
312 set_global_assignment -name ADV_NETLIST_OPT_SYNTH_GATE_RETIME ON\r
313 set_global_assignment -name CYCLONE_OPTIMIZATION_TECHNIQUE BALANCED\r
314 set_global_assignment -name PHYSICAL_SYNTHESIS_COMBO_LOGIC ON\r
315 set_global_assignment -name PHYSICAL_SYNTHESIS_REGISTER_DUPLICATION ON\r
316 set_global_assignment -name PHYSICAL_SYNTHESIS_ASYNCHRONOUS_SIGNAL_PIPELINING OFF\r
317 set_global_assignment -name PHYSICAL_SYNTHESIS_REGISTER_RETIMING ON\r
318 set_global_assignment -name RESERVE_ALL_UNUSED_PINS_NO_OUTPUT_GND "AS INPUT TRI-STATED"\r
319 set_global_assignment -name SMART_RECOMPILE ON\r
320 set_global_assignment -name FIT_ONLY_ONE_ATTEMPT OFF\r
321 set_global_assignment -name PHYSICAL_SYNTHESIS_EFFORT FAST\r
322 set_global_assignment -name MUX_RESTRUCTURE AUTO\r
323 set_global_assignment -name HCPY_CAT ON\r
324 set_global_assignment -name HCPY_VREF_PINS ON\r
325 set_global_assignment -name HCPY_PLL_MULTIPLE_CLK_NETWORK_TYPES ON\r
326 set_global_assignment -name ENABLE_DRC_SETTINGS ON\r
327 set_global_assignment -name IGNORE_CLOCK_SETTINGS ON\r
328 set_global_assignment -name FMAX_REQUIREMENT "500 MHz"\r
330 set_global_assignment -name VERILOG_FILE ../pdm.v\r
331 set_global_assignment -name VERILOG_FILE src/fpgammix.v\r
332 set_global_assignment -name VERILOG_FILE ../core.v\r
333 set_global_assignment -name VERILOG_FILE ../system.v\r
334 set_global_assignment -name VERILOG_FILE ../interconnect.v\r
335 set_global_assignment -name VERILOG_FILE ../memory_interface.v\r
336 set_global_assignment -name VERILOG_FILE ../vga.v\r
337 set_global_assignment -name VERILOG_FILE ../rs232in.v\r
338 set_global_assignment -name VERILOG_FILE ../rs232out.v\r
339 set_global_assignment -name VERILOG_FILE ../filter.v\r
340 set_global_assignment -name VERILOG_FILE mega/regfile.v\r
341 set_global_assignment -name VERILOG_FILE mega/pll1.v\r
342 set_global_assignment -name MIF_FILE ../initmem.mif\r
343 set_global_assignment -name MIF_FILE ../info_flags.mif\r
344 set_global_assignment -name CDF_FILE fpgammix.cdf