initial
[fpgammix.git] / rtl / Icarus / fileio-test.v
blobf6384a393def6ae7d1dab8ceb229ccc14c575186
1 module main();
2 integer file, ch;
3 reg clk = 1;
6 always #5 clk <= ~clk;
8 always @(posedge clk) begin
9 $write("%05d Hello ", $time);
10 $strobe("Testing");
12 $display(" foobar ");
13 ch = $fgetc(file);
14 $display("<%c>", ch);
16 end
19 initial begin
20 file = $fopen("fileio-test.v", "r");
23 #100 $finish;
25 end
26 endmodule // main